SlideShare a Scribd company logo
AI-Optimized Chipsets
May 2018
Part II: Computing Hardware
Computing Hardware
Previously in Part I, we reviewed the ADAC loop and key factors driving innovation for AI-optimized
chipsets.
In this instalment, we explore how AI-led computing demands are powering these trends:
• Deep learning is expected to drive training for neural networks requiring massive datasets for AI
algorithm development
• This in turn leads to a shift in the performance focus of computing from general application to neural
nets, increasing demand for high performance computing
• Deep learning is both computationally and memory intensive, necessitating enhancements in
processor performance
• Hence, the rise of startups adopting alternative, innovative approaches and how this is expected to
pave the way for different types of AI-optimized chipsets
Source: Nvidia | Graphcore
Deep learning is expected to drive training for neural
networks
Training Inference
“Dog”
“Cat”
Untrained Neural Network Model
“Cat”
Trained Model Optimized for Performance
• Training refers to neural network learning with significant
data
• AI algorithms are developed via training
• Consumes significant computing power
• Training loads can be divided into many concurrent tasks.
This is ideal for the GPU’s double floating point precision and
huge core counts
• Training can also be conducted using FPGAs
• Requires calculations with relatively high precision, often
using 32-bit floating-point operations
• Inference refers to the neutral network interpreting new data
to generate accurate results
• Typically conducted at the application or client end-point (i.e.
edge), rather than on the server or cloud
• Requires fewer hardware resources and depending on the
application, can be performed using CPUs
• This could be FPGAs, ASICs, Digital Signal Processors (DSPs) etc
• Inference is expected to shift locally to mobile devices
• Precision can be sacrificed in favor of greater speed or less
power consumption
“The workloads are changing dramatically” for computing, as a result of machine learning…and
whenever workloads have changed in computing, it has always created an opportunity for new
kinds of computing.”
Andrew Feldman
CEO | Cerebras
Source: Intel, NVIDIA, ImageNet, Ark Invest Management LLC
Deep Learning Growth Drivers
With massive datasets required for AI algorithm development
and inference
Source: Deep Learning: An Artificial Intelligence Revolution by ARK Investment | Learning both Weights and Connections for Efficient Neural Networks by Song Han et al. | Icon made
by Those Icons from www.flaticon.com
Shifting the performance focus of computing from general
application to neural nets
Source: Deep Learning: An Artificial Intelligence Revolution by ARK Investment | Learning both Weights and Connections for Efficient Neural Networks by Song Han et al. |
Convolutional Neural Network by Mathworks
Deep learning chipsets are designed to optimize performance, power and memory.
• Algorithms tend to be highly parallel
• Requires data splitting between different processing units
• Connecting the pipeline in the most efficient manner is key
• Significant transfer of data back and forth between memory
• For instance, convolutional neural networks require convolution operations to be repeated throughout the pipeline and the
number of operations can be extremely significant
Example of a neural network with many convolutional layers
Deep learning is both computationally and memory intensive
• A neural network takes input data, multiplies them with a weight matrix and applies an activation function​
• Multiplying matrices is often the most computationally intensive part of running a trained model
Driving enhancements in processor performance via matrix
multiplication….
The outputs of this matrix multiplication are then
processed further by an activation function
This sequence of multiplications and additions
can be written as a matrix multiplication
X1
X2
X3
Y1
Y2
Input Neurons
= f (W11X1 + W12X2 + W13X3)
= f (W21X1 + W22X2 + W23X3)
Source: An in-depth look at Google’s first Tensor Processing Unit (TPU) by Kat Sato
Quantization in neural networks
Quantization is a process of converting a range of input values into a smaller set of output values that closely
approximates the original data
• Reduces the cost of neural network predictions and memory usage
▪ Especially for mobile and embedded deployments
• Neural network predictions may not require the precision of 16-bit or 32-bit floating point calculations
▪ For example, if it is raining - knowing whether it is light or heavy will suffice, there is no need to know how many
droplets of water are falling per second
• 8-bit integers can still be used to calculate a neural network prediction while maintaining the appropriate level of
accuracy
Source: An in-depth look at Google’s first Tensor Processing Unit (TPU) by Kat Sato
Quantization in TensorFlow
And graph processing….
Scalar Processing
• Processes an operation per instruction
• CPUs run at clock speeds in the GHz range
• Might take a long time to execute large matrix operations
via a sequence of scalar operations
A1
A2
An
+ B1
B2
Bn
…
+
+
=
=
=
C1
C2
Cn
a[i] + b[i] = c[i]
for i = 1 to n
A1
A2
An
B1
B2
Bn
…
+ =
C1
C2
Cn
a[1:n] + b[1:n] = c[1:n]
.
.
.
.
.
.
.
.
.
.
.
Source: Spark 2.x - 2nd generation Tungsten Engine
Vector Processing
• Same operation performed concurrently across a large
number of data elements at the same time
• GPUs are effectively vector processors
Graph Processing
• Runs many computational processes (vertices)
• Calculates the effects these vertices on other points with
which they interact via lines (i.e. edges)
• Overall processing works on many vertices and points
simultaneously
• Low precision needed
Source: Cerebras Founder Feldman Contemplates the A.I. Chip Age by Barron’s | : Suffering Ceepie-Geepies! Do We Need a New Processor Architecture? By The Register | Startup
Unveils Graph Processor at Hot Chips by EETimes
• The key to a “graph” machine is
software that captures the “intent”
of the graph problems it needs to
solve
▪ Processing in parallel instead of
sequential
• ThinCI’s Graph Streaming Processor
(GSP) is designed to understand the
complex data dependencies and
flow
• GSPs manage this entirely on the
chip with:
▪ Minimal software intervention
▪ Extremely low memory bandwidth
needs
• Reduces or eliminates inter-
processor communications and
synchronizations
• A microprocessor wastes a lot of effort
with a sparse matrix multiplying by zero
▪ A sparse matrix is a matrix that has
many elements that are zero
• A new chip is needed to:
▪ Handle sparse matrix math
▪ Emphasize communications between
inputs and outputs of calculations
• Machine learning methods (e.g.
convolutional neural networks) involve:
▪ Recursion
▪ Feedback
▪ Computations in one instance feed into
computations elsewhere in the process
• Cerebras’ solution: Simple on compute,
on arithmetic and very intense on
communications
Creating new approaches that focus on graph processing and
sparse matrix math, emphasizing communications between
inputs and outputs of calculations
• Graphcore’s Intelligence Processing
Unit (IPU) has a structure which
provides:
▪ Efficient massive compute
parallelism
▪ Huge memory bandwidth
• Both factors essential for delivering a
significant step-up in graph
processing power needed for machine
intelligence
• The graph is a highly-parallel
execution plan for the IPU
• Expected to increase the speed of
machine learning workloads
significantly:
▪ General: by 5x
▪ Specific: by 50 - 100x (e.g.
autonomous vehicle workloads)
Source: Horizon Robotics | Hailo | Gyrfalcon Technology
As well as AI processing in memory architectures and
massively parallel compute capabilities
• Deep learning processor for edge
devices offering datacenter class
performance in an embedded
device
• Dataflow approach, based on the
structure of Neural Networks (NNs)
• Distributed memory fabric,
combined with purpose-made
pipeline elements, allowing very low
power memory access (without
using batch processing)
• Novel control scheme based on
combination of hardware and
software, reaching very low
Joules/operation metrics with a high
degree of flexibility
• Extremely efficient computational
elements, which can be variably
applied according to need
• Low overhead interconnect, allowing
Near Memory Processing (NMP)
and balancing changing
requirements of memory, compute
and control along the NN
• Gyrfalcon’s Intelligent Matrix
Processor: Lightspeeur®
2801S delivers a APiM (AI
Processing in memory)
architecture which features
massively parallel compute
capabilities
• Its APiM architecture, uses
memory as the AI processing
unit. This eliminates the huge
data movement that results in
high power consumption
• The architecture features true,
on-chip parallelism, in situ
computing, and eliminates
memory bottlenecks. It has
roughly 28,000 parallel
computing cores and does
not require external memory
for AI inference
• It runs in various open
frameworks like TensorFlow,
Caffe and others to complete
deep learning training and
inference tasks
• The Brain Processing Unit (BPU) by Horizon Robotics is a
heterogeneous Multiple Instruction, Multiple Data
(MIMD) computation system
• By heterogeneity, the BPU uses multiple kinds of
Processing Units (PU) that were designed specifically
for neural network inference. It gains performance or
energy efficiency by adding dissimilar PUs, incorporating
specialized processing capabilities to handle particular
tasks
• MIMD is a technique employed to achieve
parallelism, with a number of PUs that function
asynchronously and independently
• At any one time, different PUs may be executing
different instructions on different pieces of data
• The first generation BPU employs a Gaussian
architecture - allowing each vision task to be divided
into 2 stages (i.e. attention and cognition) for optimal
allocation of computations. This offers a parallel and
fast filter of task-irrelevant information, on-demand
cognition and edge learning to adjust models after
deployment
• This design enables the BPU to achieve a performance
of up to 1TOPS at a low-power of 1.5W. It can
process the 1080P video input at 30 frames per
second, as well as detect and recognize up to 200
objects per frame
The choice of chipset depends on use - for training, inference,
in the cloud, at the edge or a hybrid of both
Cloud
• Some cloud providers have
been creating their own chips
• Using alternative architectures
to GPUs (e.g. FPGAs and ASICs)
• Cloud-based systems can
handle neural network
training and inference
Edge
• Edge devices, from phones to drones, to
focus mainly on inference, due to
energy efficiency and low-latency
computation considerations
• Inference will be moved to edge devices
for most applications (AR expected to be
a key driver)
• New entrants will have the best chance of
success in the end-device market given its
nascence
• Chips for end-devices have power
requirements as low as 1 watt
• Devices market is too large and diverse
for a single chip design to address, and
customers will ultimately want custom
designs
With industry players adopting different approaches
Cloud Edge
• Google TPUs are ASICs
• The high non-recurring costs
associated with designing the
ASIC can be adsorbed due to
Google’s large scale
• Using TPUs across multiple
operations help save costs,
ranging from Street View
to search queries
• TPUs save more power than
GPUs
• Rolling out FPGAs in its own datacenter revamp
▪ Similar to ASICs
▪ But reprogrammable so that their algorithms
can be updated
• Smartphone System-on-Chips (SoCs) are
likely to incorporate ASIC logic blocks
• Creates opportunities for new IP licensing
companies. (e.g. Cambricon has licensed
its ASIC design to Huawei for its Kirin 970
SoC)
• Specialized chips for mobile devices - an
increasing trend with:
▪ Dedicated AI chips appearing in
Apple’s iPhone X, Huawei’s Mate 10,
and Google’s Pixel 2
▪ ARM has reconfigured its chip design
to optimize AI
▪ Qualcomm launched its own mobile AI
chips
Huawei
Mate 10’s
Kirin 970
Source: Google | Microsoft | Huawei
Source: Artificial Intelligence: 10 Trends to Watch in 2017 and Beyond by Tractica | Expect Deeper and Cheaper Machine Learning by IEEE Spectrum | MIT Technology in Review | Google
Rattles the Tech World with a New AI Chip for All by Wired | Back to the Edge: AI Will Force Distributed Intelligence Everywhere by Azeem | When Moore’s Law Met AI – Artificial
Intelligence and the Future of Computing by Azeem
Latency and contextualization of locales are key drivers of
edge computing
Key Drivers of Edge Computing
• Learning typically happens in the cloud
• Devices do not do any learning from their environment or experience
• Besides inference, it will also be essential to push training to the edge
Latency
• For many applications that delay will be unacceptable (e.g. the high latency risk of sending signal data to the cloud for
self-driving prediction, even with 5G networks)
Context
• Devices will soon need to be powerful enough to learn at the edge of the network
• Devices will be used in situ and those locales will be increasingly contextualized
• The environment where the device is placed will be a key input to its operation. Allowing the network to learn from the
experience of edge devices and the environment
Source: Artificial Intelligence: 10 Trends to Watch in 2017 and Beyond by Tractica | Expect Deeper and Cheaper Machine Learning by IEEE Spectrum | MIT Technology in Review | Google Rattles
the Tech World with a New AI Chip for All by Wired | Back to the Edge: AI Will Force Distributed Intelligence Everywhere by Azeem | When Moore’s Law Met AI – Artificial Intelligence and the
Going forward, we are likely to see Federated Learning -
a multi-faceted infrastructure where learning happens on the edge
of the network and in the cloud
Federated Learning
• Allows for smarter models, lower latency and power consumption, while availing differential privacy and personalized
experiences
• Allows the network to learn from the experience of many edge devices and their experiences of the environment
• In a federated environment, edge devices could do some learning and efficiency send back deltas (or weights) to the
cloud where a central model could be more efficiently updated, instead of sending their raw experiential data back to
the cloud for analysis
• Differential privacy also ensures that the aggregate data in a database capture significant patterns, while protecting
individual privacy
• Google designed its original TPU for execution. Its new cloud TPU offers a chip that handles
training as well
• Amazon and Microsoft offering GPU processing via cloud services, but they do not offer
bespoke AI chips for both training and executing neural networks
• Bitmain claims to have built 70% of all the computers on the Bitcoin network. It makes
specialized chips to perform the critical hash functions involved in mining and trading
bitcoins, and packages those chips into the top mining rig - the Antminer S9
• In 2017, Bitmain unveiled details its new AI chip, the Sophon BM1680 - specialized for both
training and executing deep learning algorithms
Google’s cloud TPU
Bitmain’s Sophon
BM1680
• AI applications increasingly demand higher performance
and lower power but deep learning technology has
primarily been a software play
• Need for hardware acceleration was only recognized
recently. Top global semiconductor companies and a
number of startups ventured to develop specialized
chipsets to address these demands
• Current chipset market is dominated by GPUs and CPUs
• An expanded role for other chipset types, including
ASICs and FPGAs, is expected to exist in future
• According to Tractica, deep learning chipset shipments
are expected to increase from 863K units in 2016 to
41.2M units by 2025, with revenue growing from USD
513M to USD 12.2B at a CAGR of 42.2%
Source: Tractica | Graphcore
This is expected to drive increasing production of ASICs,
FPGAs, and other emerging chipsets
Deep Learning Chipset Unit Shipment by Type
(Global, 2016-2025)
“We believe that intelligence is the future of
computing, and graph processing is the future of
computers.”
Nigel Toon
CEO | Graphcore
863K units
41.2M units
Source: Tractica
In Part I, we note that deep learning technology has primarily been a software play to date. The rise of
new applications (e.g. autonomous driving) is expected to create substantial demand for computing.
Existing processors were not originally designed for these new applications, hence the need to develop
AI-optimized chipsets.
Currently, most of the computing happens in the cloud. As AI applications become more ubiquitous, we
expect a shift in inference and training closer to where it is needed, resulting in a relative increase in
intelligence at the network edge.
This is the end of Part II of a 4-part series of Vertex Perspectives that seeks to understand key factors
driving innovation for AI-optimized chipsets, their industry landscape and development trajectory.
In Part III, we assess the dominance of tech giants in the cloud, coupled with disruptive startups adopting
cloud-first or edge-first approaches to AI-optimized chips. Most industry players are expected to focus
on the cloud, with ASIC startups featuring prominently in the cloud and at the edge. Importantly, we look
at what these opportunities mean for investors and entrepreneurs.
Finally in Part IV, we look at other emerging technologies including neuromorphic chips and quantum
computing systems, to explore their promise as alternative AI-optimized chipsets.
Do let us know if you would like to subscribe to future Vertex Perspectives.
Key Takeaways
Disclaimer
This presentation has been compiled for informational purposes only. It does not constitute a recommendation to any party. The presentation relies on data and
insights from a wide range of sources including public and private companies, market research firms, government agencies and industry professionals. We cite
specific sources where information is public. The presentation is also informed by non-public information and insights.
Information provided by third parties may not have been independently verified. Vertex Holdings believes such information to be reliable and adequately
comprehensive but does not represent that such information is in all respects accurate or complete. Vertex Holdings shall not be held liable for any information
provided.
Any information or opinions provided in this report are as of the date of the report and Vertex Holdings is under no obligation to update the information or
communicate that any updates have been made.
About Vertex Ventures
Vertex Ventures is a global network of operator-investors who manage portfolios in the U.S., China, Israel, India and
Southeast Asia.
Vertex teams combine firsthand experience in transformational technologies; on-the-ground knowledge in the world’s major
innovation centers; and global context, connections and customers.
About the Authors
Emanuel TIMOR
General Partner
Vertex Ventures Israel
emanuel@vertexventures.com
XIA Zhi Jin
Partner
Vertex Ventures China
xiazj@vertexventures.com
Brian TOH
Director
Vertex Holdings
btoh@vertexholdings.com
Tracy JIN
Director
Vertex Holdings
tjin@vertexholdings.com
19

More Related Content

What's hot

HARDWARE DESIGN FOR MACHINE LEARNING
HARDWARE DESIGN FOR MACHINE LEARNINGHARDWARE DESIGN FOR MACHINE LEARNING
HARDWARE DESIGN FOR MACHINE LEARNING
ijaia
 
Hardware design for_machine_learning
Hardware design for_machine_learningHardware design for_machine_learning
Hardware design for_machine_learning
gerogepatton
 
Smart Data Slides: Emerging Hardware Choices for Modern AI Data Management
Smart Data Slides: Emerging Hardware Choices for Modern AI Data ManagementSmart Data Slides: Emerging Hardware Choices for Modern AI Data Management
Smart Data Slides: Emerging Hardware Choices for Modern AI Data Management
DATAVERSITY
 
AI and Deep Learning
AI and Deep Learning AI and Deep Learning
AI and Deep Learning
Subrat Panda, PhD
 
IRJET- A Survey on Soft Computing Techniques and Applications
IRJET- A Survey on Soft Computing Techniques and ApplicationsIRJET- A Survey on Soft Computing Techniques and Applications
IRJET- A Survey on Soft Computing Techniques and Applications
IRJET Journal
 
Lecture on AI and Machine Learning
Lecture on AI and Machine LearningLecture on AI and Machine Learning
Lecture on AI and Machine Learning
Xiaonan Wang
 
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
Edge AI and Vision Alliance
 
2019 4-nn-and-dl-tao wang@unc-v2
2019 4-nn-and-dl-tao wang@unc-v22019 4-nn-and-dl-tao wang@unc-v2
2019 4-nn-and-dl-tao wang@unc-v2
Tao Wang
 
Internship report on AI , ML & IIOT and project responses
Internship report on AI , ML & IIOT and project responsesInternship report on AI , ML & IIOT and project responses
Internship report on AI , ML & IIOT and project responses
Rakesh Arigela
 
On premise ai platform - from dc to edge
On premise ai platform - from dc to edgeOn premise ai platform - from dc to edge
On premise ai platform - from dc to edge
Conference Papers
 
Adarsh gupta ppt
Adarsh gupta pptAdarsh gupta ppt
Adarsh gupta ppt
AnjulKumar10
 
Arpan pal gridcomputing_iot_uworld2013
Arpan pal gridcomputing_iot_uworld2013Arpan pal gridcomputing_iot_uworld2013
Arpan pal gridcomputing_iot_uworld2013
Arpan Pal
 
accelerate-intelligent-solutions-with-machine-learning-platform-brief
accelerate-intelligent-solutions-with-machine-learning-platform-briefaccelerate-intelligent-solutions-with-machine-learning-platform-brief
accelerate-intelligent-solutions-with-machine-learning-platform-brief
Pruthvi Raju Pakalapati Ninja/Black Belt Recruiter
 
AI in the Financial Services Industry
AI in the Financial Services IndustryAI in the Financial Services Industry
AI in the Financial Services Industry
Alison B. Lowndes
 
How to start your IOT journey
How to start your IOT journeyHow to start your IOT journey
How to start your IOT journey
Chetan Kumar S
 
Dell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western OntarioDell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western Ontario
Bill Wong
 
Data collection and enhancement
Data collection and enhancementData collection and enhancement
Data collection and enhancement
ankit_ppt
 
Artificial Intelligence and Machine Learning In Business
Artificial Intelligence and Machine Learning In BusinessArtificial Intelligence and Machine Learning In Business
Artificial Intelligence and Machine Learning In Business
SubmissionResearchpa
 
Notes of AI for everyone - by Andrew Ng
Notes of AI for everyone - by Andrew NgNotes of AI for everyone - by Andrew Ng
Notes of AI for everyone - by Andrew Ng
mgopalani
 

What's hot (19)

HARDWARE DESIGN FOR MACHINE LEARNING
HARDWARE DESIGN FOR MACHINE LEARNINGHARDWARE DESIGN FOR MACHINE LEARNING
HARDWARE DESIGN FOR MACHINE LEARNING
 
Hardware design for_machine_learning
Hardware design for_machine_learningHardware design for_machine_learning
Hardware design for_machine_learning
 
Smart Data Slides: Emerging Hardware Choices for Modern AI Data Management
Smart Data Slides: Emerging Hardware Choices for Modern AI Data ManagementSmart Data Slides: Emerging Hardware Choices for Modern AI Data Management
Smart Data Slides: Emerging Hardware Choices for Modern AI Data Management
 
AI and Deep Learning
AI and Deep Learning AI and Deep Learning
AI and Deep Learning
 
IRJET- A Survey on Soft Computing Techniques and Applications
IRJET- A Survey on Soft Computing Techniques and ApplicationsIRJET- A Survey on Soft Computing Techniques and Applications
IRJET- A Survey on Soft Computing Techniques and Applications
 
Lecture on AI and Machine Learning
Lecture on AI and Machine LearningLecture on AI and Machine Learning
Lecture on AI and Machine Learning
 
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
"Data Annotation at Scale: Pitfalls and Solutions," a Presentation from Intel
 
2019 4-nn-and-dl-tao wang@unc-v2
2019 4-nn-and-dl-tao wang@unc-v22019 4-nn-and-dl-tao wang@unc-v2
2019 4-nn-and-dl-tao wang@unc-v2
 
Internship report on AI , ML & IIOT and project responses
Internship report on AI , ML & IIOT and project responsesInternship report on AI , ML & IIOT and project responses
Internship report on AI , ML & IIOT and project responses
 
On premise ai platform - from dc to edge
On premise ai platform - from dc to edgeOn premise ai platform - from dc to edge
On premise ai platform - from dc to edge
 
Adarsh gupta ppt
Adarsh gupta pptAdarsh gupta ppt
Adarsh gupta ppt
 
Arpan pal gridcomputing_iot_uworld2013
Arpan pal gridcomputing_iot_uworld2013Arpan pal gridcomputing_iot_uworld2013
Arpan pal gridcomputing_iot_uworld2013
 
accelerate-intelligent-solutions-with-machine-learning-platform-brief
accelerate-intelligent-solutions-with-machine-learning-platform-briefaccelerate-intelligent-solutions-with-machine-learning-platform-brief
accelerate-intelligent-solutions-with-machine-learning-platform-brief
 
AI in the Financial Services Industry
AI in the Financial Services IndustryAI in the Financial Services Industry
AI in the Financial Services Industry
 
How to start your IOT journey
How to start your IOT journeyHow to start your IOT journey
How to start your IOT journey
 
Dell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western OntarioDell NVIDIA AI Roadshow - South Western Ontario
Dell NVIDIA AI Roadshow - South Western Ontario
 
Data collection and enhancement
Data collection and enhancementData collection and enhancement
Data collection and enhancement
 
Artificial Intelligence and Machine Learning In Business
Artificial Intelligence and Machine Learning In BusinessArtificial Intelligence and Machine Learning In Business
Artificial Intelligence and Machine Learning In Business
 
Notes of AI for everyone - by Andrew Ng
Notes of AI for everyone - by Andrew NgNotes of AI for everyone - by Andrew Ng
Notes of AI for everyone - by Andrew Ng
 

Similar to Vertex Perspectives | AI Optimized Chipsets | Part II

FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning
Dr. Swaminathan Kathirvel
 
Graph Hardware Architecture - Enterprise graphs deserve great hardware!
Graph Hardware Architecture - Enterprise graphs deserve great hardware!Graph Hardware Architecture - Enterprise graphs deserve great hardware!
Graph Hardware Architecture - Enterprise graphs deserve great hardware!
TigerGraph
 
In datacenter performance analysis of a tensor processing unit
In datacenter performance analysis of a tensor processing unitIn datacenter performance analysis of a tensor processing unit
In datacenter performance analysis of a tensor processing unit
Jinwon Lee
 
Computer Design Concepts for Machine Learning
Computer Design Concepts for Machine LearningComputer Design Concepts for Machine Learning
Computer Design Concepts for Machine Learning
Facultad de Informática UCM
 
DigitRecognition.pptx
DigitRecognition.pptxDigitRecognition.pptx
DigitRecognition.pptx
ruvex
 
Mauricio breteernitiz hpc-exascale-iscte
Mauricio breteernitiz hpc-exascale-iscteMauricio breteernitiz hpc-exascale-iscte
Mauricio breteernitiz hpc-exascale-iscte
mbreternitz
 
Netflix machine learning
Netflix machine learningNetflix machine learning
Netflix machine learning
Amer Ather
 
Stories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi TorresStories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi Torres
Spark Summit
 
Neurosynaptic chips
Neurosynaptic chipsNeurosynaptic chips
Neurosynaptic chips
Jeffrey Funk
 
Machine Learning Challenges and Opportunities in Education, Industry, and Res...
Machine Learning Challenges and Opportunities in Education, Industry, and Res...Machine Learning Challenges and Opportunities in Education, Industry, and Res...
Machine Learning Challenges and Opportunities in Education, Industry, and Res...
Facultad de Informática UCM
 
AI Hardware
AI HardwareAI Hardware
AI Hardware
Shahzaib Mahesar
 
Simulation of Heterogeneous Cloud Infrastructures
Simulation of Heterogeneous Cloud InfrastructuresSimulation of Heterogeneous Cloud Infrastructures
Simulation of Heterogeneous Cloud Infrastructures
CloudLightning
 
Module 1 unit 3
Module 1  unit 3Module 1  unit 3
Module 1 unit 3
Ayebazibwe Kenneth
 
2018 03 25 system ml ai and openpower meetup
2018 03 25 system ml ai and openpower meetup2018 03 25 system ml ai and openpower meetup
2018 03 25 system ml ai and openpower meetup
Ganesan Narayanasamy
 
Accelerating Real Time Applications on Heterogeneous Platforms
Accelerating Real Time Applications on Heterogeneous PlatformsAccelerating Real Time Applications on Heterogeneous Platforms
Accelerating Real Time Applications on Heterogeneous Platforms
IJMER
 
A Dataflow Processing Chip for Training Deep Neural Networks
A Dataflow Processing Chip for Training Deep Neural NetworksA Dataflow Processing Chip for Training Deep Neural Networks
A Dataflow Processing Chip for Training Deep Neural Networks
inside-BigData.com
 
Pdc lecture1
Pdc lecture1Pdc lecture1
Pdc lecture1
SyedSafeer1
 
Entity embeddings for categorical data
Entity embeddings for categorical dataEntity embeddings for categorical data
Entity embeddings for categorical data
Paul Skeie
 
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio..."Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
Edge AI and Vision Alliance
 
Exascale Capabl
Exascale CapablExascale Capabl
Exascale Capabl
Sagar Dolas
 

Similar to Vertex Perspectives | AI Optimized Chipsets | Part II (20)

FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning
 
Graph Hardware Architecture - Enterprise graphs deserve great hardware!
Graph Hardware Architecture - Enterprise graphs deserve great hardware!Graph Hardware Architecture - Enterprise graphs deserve great hardware!
Graph Hardware Architecture - Enterprise graphs deserve great hardware!
 
In datacenter performance analysis of a tensor processing unit
In datacenter performance analysis of a tensor processing unitIn datacenter performance analysis of a tensor processing unit
In datacenter performance analysis of a tensor processing unit
 
Computer Design Concepts for Machine Learning
Computer Design Concepts for Machine LearningComputer Design Concepts for Machine Learning
Computer Design Concepts for Machine Learning
 
DigitRecognition.pptx
DigitRecognition.pptxDigitRecognition.pptx
DigitRecognition.pptx
 
Mauricio breteernitiz hpc-exascale-iscte
Mauricio breteernitiz hpc-exascale-iscteMauricio breteernitiz hpc-exascale-iscte
Mauricio breteernitiz hpc-exascale-iscte
 
Netflix machine learning
Netflix machine learningNetflix machine learning
Netflix machine learning
 
Stories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi TorresStories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi Torres
 
Neurosynaptic chips
Neurosynaptic chipsNeurosynaptic chips
Neurosynaptic chips
 
Machine Learning Challenges and Opportunities in Education, Industry, and Res...
Machine Learning Challenges and Opportunities in Education, Industry, and Res...Machine Learning Challenges and Opportunities in Education, Industry, and Res...
Machine Learning Challenges and Opportunities in Education, Industry, and Res...
 
AI Hardware
AI HardwareAI Hardware
AI Hardware
 
Simulation of Heterogeneous Cloud Infrastructures
Simulation of Heterogeneous Cloud InfrastructuresSimulation of Heterogeneous Cloud Infrastructures
Simulation of Heterogeneous Cloud Infrastructures
 
Module 1 unit 3
Module 1  unit 3Module 1  unit 3
Module 1 unit 3
 
2018 03 25 system ml ai and openpower meetup
2018 03 25 system ml ai and openpower meetup2018 03 25 system ml ai and openpower meetup
2018 03 25 system ml ai and openpower meetup
 
Accelerating Real Time Applications on Heterogeneous Platforms
Accelerating Real Time Applications on Heterogeneous PlatformsAccelerating Real Time Applications on Heterogeneous Platforms
Accelerating Real Time Applications on Heterogeneous Platforms
 
A Dataflow Processing Chip for Training Deep Neural Networks
A Dataflow Processing Chip for Training Deep Neural NetworksA Dataflow Processing Chip for Training Deep Neural Networks
A Dataflow Processing Chip for Training Deep Neural Networks
 
Pdc lecture1
Pdc lecture1Pdc lecture1
Pdc lecture1
 
Entity embeddings for categorical data
Entity embeddings for categorical dataEntity embeddings for categorical data
Entity embeddings for categorical data
 
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio..."Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
"Quantizing Deep Networks for Efficient Inference at the Edge," a Presentatio...
 
Exascale Capabl
Exascale CapablExascale Capabl
Exascale Capabl
 

More from Vertex Holdings

Third-Generation Semiconductor: The Next Wave?
Third-Generation Semiconductor: The Next Wave?Third-Generation Semiconductor: The Next Wave?
Third-Generation Semiconductor: The Next Wave?
Vertex Holdings
 
E-mobility E-mobility | Part 5 - The future of EVs and AVs (English)
E-mobility  E-mobility | Part 5 - The future of EVs and AVs (English)E-mobility  E-mobility | Part 5 - The future of EVs and AVs (English)
E-mobility E-mobility | Part 5 - The future of EVs and AVs (English)
Vertex Holdings
 
E-mobility | Part 5 - The future of EVs and AVs (Japanese)
E-mobility | Part 5 - The future of EVs and AVs (Japanese)E-mobility | Part 5 - The future of EVs and AVs (Japanese)
E-mobility | Part 5 - The future of EVs and AVs (Japanese)
Vertex Holdings
 
E-mobility | Part 5 - The future of EVs and AVs (German)
E-mobility | Part 5 - The future of EVs and AVs (German)E-mobility | Part 5 - The future of EVs and AVs (German)
E-mobility | Part 5 - The future of EVs and AVs (German)
Vertex Holdings
 
E-mobility | Part 5 - The future of EVs and AVs (Chinese)
E-mobility | Part 5 - The future of EVs and AVs (Chinese)E-mobility | Part 5 - The future of EVs and AVs (Chinese)
E-mobility | Part 5 - The future of EVs and AVs (Chinese)
Vertex Holdings
 
E-mobility | Part 5 - The future of EVs and AVs (Korean)
E-mobility | Part 5 - The future of EVs and AVs (Korean)E-mobility | Part 5 - The future of EVs and AVs (Korean)
E-mobility | Part 5 - The future of EVs and AVs (Korean)
Vertex Holdings
 
E-mobility | Part 3 - Battery recycling & power electronics (German)
E-mobility | Part 3 - Battery recycling & power electronics (German)E-mobility | Part 3 - Battery recycling & power electronics (German)
E-mobility | Part 3 - Battery recycling & power electronics (German)
Vertex Holdings
 
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
Vertex Holdings
 
E-mobility | Part 4 - EV charging and the next frontier (Korean)
E-mobility | Part 4 - EV charging and the next frontier (Korean)E-mobility | Part 4 - EV charging and the next frontier (Korean)
E-mobility | Part 4 - EV charging and the next frontier (Korean)
Vertex Holdings
 
E-mobility | Part 4 - EV charging and the next frontier (Japanese)
E-mobility | Part 4 - EV charging and the next frontier (Japanese)E-mobility | Part 4 - EV charging and the next frontier (Japanese)
E-mobility | Part 4 - EV charging and the next frontier (Japanese)
Vertex Holdings
 
E-mobility | Part 4 - EV charging and the next frontier (Chinese)
E-mobility | Part 4 - EV charging and the next frontier (Chinese)E-mobility | Part 4 - EV charging and the next frontier (Chinese)
E-mobility | Part 4 - EV charging and the next frontier (Chinese)
Vertex Holdings
 
E-mobility | Part 4 - EV charging and the next frontier (German)
E-mobility | Part 4 - EV charging and the next frontier (German)E-mobility | Part 4 - EV charging and the next frontier (German)
E-mobility | Part 4 - EV charging and the next frontier (German)
Vertex Holdings
 
E-mobility | Part 4 - EV charging and the next frontier (English)
E-mobility | Part 4 - EV charging and the next frontier (English)E-mobility | Part 4 - EV charging and the next frontier (English)
E-mobility | Part 4 - EV charging and the next frontier (English)
Vertex Holdings
 
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
Vertex Holdings
 
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
Vertex Holdings
 
E-mobility | Part 3 - Battery recycling & power electronics (English)
E-mobility | Part 3 - Battery recycling & power electronics (English)E-mobility | Part 3 - Battery recycling & power electronics (English)
E-mobility | Part 3 - Battery recycling & power electronics (English)
Vertex Holdings
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
Vertex Holdings
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
Vertex Holdings
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
Vertex Holdings
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
Vertex Holdings
 

More from Vertex Holdings (20)

Third-Generation Semiconductor: The Next Wave?
Third-Generation Semiconductor: The Next Wave?Third-Generation Semiconductor: The Next Wave?
Third-Generation Semiconductor: The Next Wave?
 
E-mobility E-mobility | Part 5 - The future of EVs and AVs (English)
E-mobility  E-mobility | Part 5 - The future of EVs and AVs (English)E-mobility  E-mobility | Part 5 - The future of EVs and AVs (English)
E-mobility E-mobility | Part 5 - The future of EVs and AVs (English)
 
E-mobility | Part 5 - The future of EVs and AVs (Japanese)
E-mobility | Part 5 - The future of EVs and AVs (Japanese)E-mobility | Part 5 - The future of EVs and AVs (Japanese)
E-mobility | Part 5 - The future of EVs and AVs (Japanese)
 
E-mobility | Part 5 - The future of EVs and AVs (German)
E-mobility | Part 5 - The future of EVs and AVs (German)E-mobility | Part 5 - The future of EVs and AVs (German)
E-mobility | Part 5 - The future of EVs and AVs (German)
 
E-mobility | Part 5 - The future of EVs and AVs (Chinese)
E-mobility | Part 5 - The future of EVs and AVs (Chinese)E-mobility | Part 5 - The future of EVs and AVs (Chinese)
E-mobility | Part 5 - The future of EVs and AVs (Chinese)
 
E-mobility | Part 5 - The future of EVs and AVs (Korean)
E-mobility | Part 5 - The future of EVs and AVs (Korean)E-mobility | Part 5 - The future of EVs and AVs (Korean)
E-mobility | Part 5 - The future of EVs and AVs (Korean)
 
E-mobility | Part 3 - Battery recycling & power electronics (German)
E-mobility | Part 3 - Battery recycling & power electronics (German)E-mobility | Part 3 - Battery recycling & power electronics (German)
E-mobility | Part 3 - Battery recycling & power electronics (German)
 
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
E-mobility | Part 3 - Battery recycling & power electronics (Japanese)
 
E-mobility | Part 4 - EV charging and the next frontier (Korean)
E-mobility | Part 4 - EV charging and the next frontier (Korean)E-mobility | Part 4 - EV charging and the next frontier (Korean)
E-mobility | Part 4 - EV charging and the next frontier (Korean)
 
E-mobility | Part 4 - EV charging and the next frontier (Japanese)
E-mobility | Part 4 - EV charging and the next frontier (Japanese)E-mobility | Part 4 - EV charging and the next frontier (Japanese)
E-mobility | Part 4 - EV charging and the next frontier (Japanese)
 
E-mobility | Part 4 - EV charging and the next frontier (Chinese)
E-mobility | Part 4 - EV charging and the next frontier (Chinese)E-mobility | Part 4 - EV charging and the next frontier (Chinese)
E-mobility | Part 4 - EV charging and the next frontier (Chinese)
 
E-mobility | Part 4 - EV charging and the next frontier (German)
E-mobility | Part 4 - EV charging and the next frontier (German)E-mobility | Part 4 - EV charging and the next frontier (German)
E-mobility | Part 4 - EV charging and the next frontier (German)
 
E-mobility | Part 4 - EV charging and the next frontier (English)
E-mobility | Part 4 - EV charging and the next frontier (English)E-mobility | Part 4 - EV charging and the next frontier (English)
E-mobility | Part 4 - EV charging and the next frontier (English)
 
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Korean)
 
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 3 - Battery Technology & Alternative Innovations (Chinese)
 
E-mobility | Part 3 - Battery recycling & power electronics (English)
E-mobility | Part 3 - Battery recycling & power electronics (English)E-mobility | Part 3 - Battery recycling & power electronics (English)
E-mobility | Part 3 - Battery recycling & power electronics (English)
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (German)
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Chinese)
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Japanese)
 
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
E-mobility | Part 2 - Battery Technology & Alternative Innovations (Korean)
 

Recently uploaded

Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Safe Software
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
Brandon Minnick, MBA
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
DianaGray10
 
Columbus Data & Analytics Wednesdays - June 2024
Columbus Data & Analytics Wednesdays - June 2024Columbus Data & Analytics Wednesdays - June 2024
Columbus Data & Analytics Wednesdays - June 2024
Jason Packer
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
IndexBug
 
“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”
Claudio Di Ciccio
 
Video Streaming: Then, Now, and in the Future
Video Streaming: Then, Now, and in the FutureVideo Streaming: Then, Now, and in the Future
Video Streaming: Then, Now, and in the Future
Alpen-Adria-Universität
 
Programming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup SlidesProgramming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup Slides
Zilliz
 
Things to Consider When Choosing a Website Developer for your Website | FODUU
Things to Consider When Choosing a Website Developer for your Website | FODUUThings to Consider When Choosing a Website Developer for your Website | FODUU
Things to Consider When Choosing a Website Developer for your Website | FODUU
FODUU
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
Ocean lotus Threat actors project by John Sitima 2024 (1).pptx
Ocean lotus Threat actors project by John Sitima 2024 (1).pptxOcean lotus Threat actors project by John Sitima 2024 (1).pptx
Ocean lotus Threat actors project by John Sitima 2024 (1).pptx
SitimaJohn
 
Your One-Stop Shop for Python Success: Top 10 US Python Development Providers
Your One-Stop Shop for Python Success: Top 10 US Python Development ProvidersYour One-Stop Shop for Python Success: Top 10 US Python Development Providers
Your One-Stop Shop for Python Success: Top 10 US Python Development Providers
akankshawande
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
Matthew Sinclair
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
panagenda
 
OpenID AuthZEN Interop Read Out - Authorization
OpenID AuthZEN Interop Read Out - AuthorizationOpenID AuthZEN Interop Read Out - Authorization
OpenID AuthZEN Interop Read Out - Authorization
David Brossard
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
Quotidiano Piemontese
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems S.M.S.A.
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
Aftab Hussain
 
Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
Pixlogix Infotech
 
GenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizationsGenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizations
kumardaparthi1024
 

Recently uploaded (20)

Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
 
Columbus Data & Analytics Wednesdays - June 2024
Columbus Data & Analytics Wednesdays - June 2024Columbus Data & Analytics Wednesdays - June 2024
Columbus Data & Analytics Wednesdays - June 2024
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
 
“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”“I’m still / I’m still / Chaining from the Block”
“I’m still / I’m still / Chaining from the Block”
 
Video Streaming: Then, Now, and in the Future
Video Streaming: Then, Now, and in the FutureVideo Streaming: Then, Now, and in the Future
Video Streaming: Then, Now, and in the Future
 
Programming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup SlidesProgramming Foundation Models with DSPy - Meetup Slides
Programming Foundation Models with DSPy - Meetup Slides
 
Things to Consider When Choosing a Website Developer for your Website | FODUU
Things to Consider When Choosing a Website Developer for your Website | FODUUThings to Consider When Choosing a Website Developer for your Website | FODUU
Things to Consider When Choosing a Website Developer for your Website | FODUU
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
Ocean lotus Threat actors project by John Sitima 2024 (1).pptx
Ocean lotus Threat actors project by John Sitima 2024 (1).pptxOcean lotus Threat actors project by John Sitima 2024 (1).pptx
Ocean lotus Threat actors project by John Sitima 2024 (1).pptx
 
Your One-Stop Shop for Python Success: Top 10 US Python Development Providers
Your One-Stop Shop for Python Success: Top 10 US Python Development ProvidersYour One-Stop Shop for Python Success: Top 10 US Python Development Providers
Your One-Stop Shop for Python Success: Top 10 US Python Development Providers
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
 
OpenID AuthZEN Interop Read Out - Authorization
OpenID AuthZEN Interop Read Out - AuthorizationOpenID AuthZEN Interop Read Out - Authorization
OpenID AuthZEN Interop Read Out - Authorization
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
 
Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
 
GenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizationsGenAI Pilot Implementation in the organizations
GenAI Pilot Implementation in the organizations
 

Vertex Perspectives | AI Optimized Chipsets | Part II

  • 1. AI-Optimized Chipsets May 2018 Part II: Computing Hardware
  • 2. Computing Hardware Previously in Part I, we reviewed the ADAC loop and key factors driving innovation for AI-optimized chipsets. In this instalment, we explore how AI-led computing demands are powering these trends: • Deep learning is expected to drive training for neural networks requiring massive datasets for AI algorithm development • This in turn leads to a shift in the performance focus of computing from general application to neural nets, increasing demand for high performance computing • Deep learning is both computationally and memory intensive, necessitating enhancements in processor performance • Hence, the rise of startups adopting alternative, innovative approaches and how this is expected to pave the way for different types of AI-optimized chipsets
  • 3. Source: Nvidia | Graphcore Deep learning is expected to drive training for neural networks Training Inference “Dog” “Cat” Untrained Neural Network Model “Cat” Trained Model Optimized for Performance • Training refers to neural network learning with significant data • AI algorithms are developed via training • Consumes significant computing power • Training loads can be divided into many concurrent tasks. This is ideal for the GPU’s double floating point precision and huge core counts • Training can also be conducted using FPGAs • Requires calculations with relatively high precision, often using 32-bit floating-point operations • Inference refers to the neutral network interpreting new data to generate accurate results • Typically conducted at the application or client end-point (i.e. edge), rather than on the server or cloud • Requires fewer hardware resources and depending on the application, can be performed using CPUs • This could be FPGAs, ASICs, Digital Signal Processors (DSPs) etc • Inference is expected to shift locally to mobile devices • Precision can be sacrificed in favor of greater speed or less power consumption
  • 4. “The workloads are changing dramatically” for computing, as a result of machine learning…and whenever workloads have changed in computing, it has always created an opportunity for new kinds of computing.” Andrew Feldman CEO | Cerebras Source: Intel, NVIDIA, ImageNet, Ark Invest Management LLC Deep Learning Growth Drivers With massive datasets required for AI algorithm development and inference
  • 5. Source: Deep Learning: An Artificial Intelligence Revolution by ARK Investment | Learning both Weights and Connections for Efficient Neural Networks by Song Han et al. | Icon made by Those Icons from www.flaticon.com Shifting the performance focus of computing from general application to neural nets
  • 6. Source: Deep Learning: An Artificial Intelligence Revolution by ARK Investment | Learning both Weights and Connections for Efficient Neural Networks by Song Han et al. | Convolutional Neural Network by Mathworks Deep learning chipsets are designed to optimize performance, power and memory. • Algorithms tend to be highly parallel • Requires data splitting between different processing units • Connecting the pipeline in the most efficient manner is key • Significant transfer of data back and forth between memory • For instance, convolutional neural networks require convolution operations to be repeated throughout the pipeline and the number of operations can be extremely significant Example of a neural network with many convolutional layers Deep learning is both computationally and memory intensive
  • 7. • A neural network takes input data, multiplies them with a weight matrix and applies an activation function​ • Multiplying matrices is often the most computationally intensive part of running a trained model Driving enhancements in processor performance via matrix multiplication…. The outputs of this matrix multiplication are then processed further by an activation function This sequence of multiplications and additions can be written as a matrix multiplication X1 X2 X3 Y1 Y2 Input Neurons = f (W11X1 + W12X2 + W13X3) = f (W21X1 + W22X2 + W23X3) Source: An in-depth look at Google’s first Tensor Processing Unit (TPU) by Kat Sato
  • 8. Quantization in neural networks Quantization is a process of converting a range of input values into a smaller set of output values that closely approximates the original data • Reduces the cost of neural network predictions and memory usage ▪ Especially for mobile and embedded deployments • Neural network predictions may not require the precision of 16-bit or 32-bit floating point calculations ▪ For example, if it is raining - knowing whether it is light or heavy will suffice, there is no need to know how many droplets of water are falling per second • 8-bit integers can still be used to calculate a neural network prediction while maintaining the appropriate level of accuracy Source: An in-depth look at Google’s first Tensor Processing Unit (TPU) by Kat Sato Quantization in TensorFlow
  • 9. And graph processing…. Scalar Processing • Processes an operation per instruction • CPUs run at clock speeds in the GHz range • Might take a long time to execute large matrix operations via a sequence of scalar operations A1 A2 An + B1 B2 Bn … + + = = = C1 C2 Cn a[i] + b[i] = c[i] for i = 1 to n A1 A2 An B1 B2 Bn … + = C1 C2 Cn a[1:n] + b[1:n] = c[1:n] . . . . . . . . . . . Source: Spark 2.x - 2nd generation Tungsten Engine Vector Processing • Same operation performed concurrently across a large number of data elements at the same time • GPUs are effectively vector processors Graph Processing • Runs many computational processes (vertices) • Calculates the effects these vertices on other points with which they interact via lines (i.e. edges) • Overall processing works on many vertices and points simultaneously • Low precision needed
  • 10. Source: Cerebras Founder Feldman Contemplates the A.I. Chip Age by Barron’s | : Suffering Ceepie-Geepies! Do We Need a New Processor Architecture? By The Register | Startup Unveils Graph Processor at Hot Chips by EETimes • The key to a “graph” machine is software that captures the “intent” of the graph problems it needs to solve ▪ Processing in parallel instead of sequential • ThinCI’s Graph Streaming Processor (GSP) is designed to understand the complex data dependencies and flow • GSPs manage this entirely on the chip with: ▪ Minimal software intervention ▪ Extremely low memory bandwidth needs • Reduces or eliminates inter- processor communications and synchronizations • A microprocessor wastes a lot of effort with a sparse matrix multiplying by zero ▪ A sparse matrix is a matrix that has many elements that are zero • A new chip is needed to: ▪ Handle sparse matrix math ▪ Emphasize communications between inputs and outputs of calculations • Machine learning methods (e.g. convolutional neural networks) involve: ▪ Recursion ▪ Feedback ▪ Computations in one instance feed into computations elsewhere in the process • Cerebras’ solution: Simple on compute, on arithmetic and very intense on communications Creating new approaches that focus on graph processing and sparse matrix math, emphasizing communications between inputs and outputs of calculations • Graphcore’s Intelligence Processing Unit (IPU) has a structure which provides: ▪ Efficient massive compute parallelism ▪ Huge memory bandwidth • Both factors essential for delivering a significant step-up in graph processing power needed for machine intelligence • The graph is a highly-parallel execution plan for the IPU • Expected to increase the speed of machine learning workloads significantly: ▪ General: by 5x ▪ Specific: by 50 - 100x (e.g. autonomous vehicle workloads)
  • 11. Source: Horizon Robotics | Hailo | Gyrfalcon Technology As well as AI processing in memory architectures and massively parallel compute capabilities • Deep learning processor for edge devices offering datacenter class performance in an embedded device • Dataflow approach, based on the structure of Neural Networks (NNs) • Distributed memory fabric, combined with purpose-made pipeline elements, allowing very low power memory access (without using batch processing) • Novel control scheme based on combination of hardware and software, reaching very low Joules/operation metrics with a high degree of flexibility • Extremely efficient computational elements, which can be variably applied according to need • Low overhead interconnect, allowing Near Memory Processing (NMP) and balancing changing requirements of memory, compute and control along the NN • Gyrfalcon’s Intelligent Matrix Processor: Lightspeeur® 2801S delivers a APiM (AI Processing in memory) architecture which features massively parallel compute capabilities • Its APiM architecture, uses memory as the AI processing unit. This eliminates the huge data movement that results in high power consumption • The architecture features true, on-chip parallelism, in situ computing, and eliminates memory bottlenecks. It has roughly 28,000 parallel computing cores and does not require external memory for AI inference • It runs in various open frameworks like TensorFlow, Caffe and others to complete deep learning training and inference tasks • The Brain Processing Unit (BPU) by Horizon Robotics is a heterogeneous Multiple Instruction, Multiple Data (MIMD) computation system • By heterogeneity, the BPU uses multiple kinds of Processing Units (PU) that were designed specifically for neural network inference. It gains performance or energy efficiency by adding dissimilar PUs, incorporating specialized processing capabilities to handle particular tasks • MIMD is a technique employed to achieve parallelism, with a number of PUs that function asynchronously and independently • At any one time, different PUs may be executing different instructions on different pieces of data • The first generation BPU employs a Gaussian architecture - allowing each vision task to be divided into 2 stages (i.e. attention and cognition) for optimal allocation of computations. This offers a parallel and fast filter of task-irrelevant information, on-demand cognition and edge learning to adjust models after deployment • This design enables the BPU to achieve a performance of up to 1TOPS at a low-power of 1.5W. It can process the 1080P video input at 30 frames per second, as well as detect and recognize up to 200 objects per frame
  • 12. The choice of chipset depends on use - for training, inference, in the cloud, at the edge or a hybrid of both Cloud • Some cloud providers have been creating their own chips • Using alternative architectures to GPUs (e.g. FPGAs and ASICs) • Cloud-based systems can handle neural network training and inference Edge • Edge devices, from phones to drones, to focus mainly on inference, due to energy efficiency and low-latency computation considerations • Inference will be moved to edge devices for most applications (AR expected to be a key driver) • New entrants will have the best chance of success in the end-device market given its nascence • Chips for end-devices have power requirements as low as 1 watt • Devices market is too large and diverse for a single chip design to address, and customers will ultimately want custom designs
  • 13. With industry players adopting different approaches Cloud Edge • Google TPUs are ASICs • The high non-recurring costs associated with designing the ASIC can be adsorbed due to Google’s large scale • Using TPUs across multiple operations help save costs, ranging from Street View to search queries • TPUs save more power than GPUs • Rolling out FPGAs in its own datacenter revamp ▪ Similar to ASICs ▪ But reprogrammable so that their algorithms can be updated • Smartphone System-on-Chips (SoCs) are likely to incorporate ASIC logic blocks • Creates opportunities for new IP licensing companies. (e.g. Cambricon has licensed its ASIC design to Huawei for its Kirin 970 SoC) • Specialized chips for mobile devices - an increasing trend with: ▪ Dedicated AI chips appearing in Apple’s iPhone X, Huawei’s Mate 10, and Google’s Pixel 2 ▪ ARM has reconfigured its chip design to optimize AI ▪ Qualcomm launched its own mobile AI chips Huawei Mate 10’s Kirin 970 Source: Google | Microsoft | Huawei
  • 14. Source: Artificial Intelligence: 10 Trends to Watch in 2017 and Beyond by Tractica | Expect Deeper and Cheaper Machine Learning by IEEE Spectrum | MIT Technology in Review | Google Rattles the Tech World with a New AI Chip for All by Wired | Back to the Edge: AI Will Force Distributed Intelligence Everywhere by Azeem | When Moore’s Law Met AI – Artificial Intelligence and the Future of Computing by Azeem Latency and contextualization of locales are key drivers of edge computing Key Drivers of Edge Computing • Learning typically happens in the cloud • Devices do not do any learning from their environment or experience • Besides inference, it will also be essential to push training to the edge Latency • For many applications that delay will be unacceptable (e.g. the high latency risk of sending signal data to the cloud for self-driving prediction, even with 5G networks) Context • Devices will soon need to be powerful enough to learn at the edge of the network • Devices will be used in situ and those locales will be increasingly contextualized • The environment where the device is placed will be a key input to its operation. Allowing the network to learn from the experience of edge devices and the environment
  • 15. Source: Artificial Intelligence: 10 Trends to Watch in 2017 and Beyond by Tractica | Expect Deeper and Cheaper Machine Learning by IEEE Spectrum | MIT Technology in Review | Google Rattles the Tech World with a New AI Chip for All by Wired | Back to the Edge: AI Will Force Distributed Intelligence Everywhere by Azeem | When Moore’s Law Met AI – Artificial Intelligence and the Going forward, we are likely to see Federated Learning - a multi-faceted infrastructure where learning happens on the edge of the network and in the cloud Federated Learning • Allows for smarter models, lower latency and power consumption, while availing differential privacy and personalized experiences • Allows the network to learn from the experience of many edge devices and their experiences of the environment • In a federated environment, edge devices could do some learning and efficiency send back deltas (or weights) to the cloud where a central model could be more efficiently updated, instead of sending their raw experiential data back to the cloud for analysis • Differential privacy also ensures that the aggregate data in a database capture significant patterns, while protecting individual privacy • Google designed its original TPU for execution. Its new cloud TPU offers a chip that handles training as well • Amazon and Microsoft offering GPU processing via cloud services, but they do not offer bespoke AI chips for both training and executing neural networks • Bitmain claims to have built 70% of all the computers on the Bitcoin network. It makes specialized chips to perform the critical hash functions involved in mining and trading bitcoins, and packages those chips into the top mining rig - the Antminer S9 • In 2017, Bitmain unveiled details its new AI chip, the Sophon BM1680 - specialized for both training and executing deep learning algorithms Google’s cloud TPU Bitmain’s Sophon BM1680
  • 16. • AI applications increasingly demand higher performance and lower power but deep learning technology has primarily been a software play • Need for hardware acceleration was only recognized recently. Top global semiconductor companies and a number of startups ventured to develop specialized chipsets to address these demands • Current chipset market is dominated by GPUs and CPUs • An expanded role for other chipset types, including ASICs and FPGAs, is expected to exist in future • According to Tractica, deep learning chipset shipments are expected to increase from 863K units in 2016 to 41.2M units by 2025, with revenue growing from USD 513M to USD 12.2B at a CAGR of 42.2% Source: Tractica | Graphcore This is expected to drive increasing production of ASICs, FPGAs, and other emerging chipsets Deep Learning Chipset Unit Shipment by Type (Global, 2016-2025) “We believe that intelligence is the future of computing, and graph processing is the future of computers.” Nigel Toon CEO | Graphcore 863K units 41.2M units Source: Tractica
  • 17. In Part I, we note that deep learning technology has primarily been a software play to date. The rise of new applications (e.g. autonomous driving) is expected to create substantial demand for computing. Existing processors were not originally designed for these new applications, hence the need to develop AI-optimized chipsets. Currently, most of the computing happens in the cloud. As AI applications become more ubiquitous, we expect a shift in inference and training closer to where it is needed, resulting in a relative increase in intelligence at the network edge. This is the end of Part II of a 4-part series of Vertex Perspectives that seeks to understand key factors driving innovation for AI-optimized chipsets, their industry landscape and development trajectory. In Part III, we assess the dominance of tech giants in the cloud, coupled with disruptive startups adopting cloud-first or edge-first approaches to AI-optimized chips. Most industry players are expected to focus on the cloud, with ASIC startups featuring prominently in the cloud and at the edge. Importantly, we look at what these opportunities mean for investors and entrepreneurs. Finally in Part IV, we look at other emerging technologies including neuromorphic chips and quantum computing systems, to explore their promise as alternative AI-optimized chipsets. Do let us know if you would like to subscribe to future Vertex Perspectives. Key Takeaways
  • 18. Disclaimer This presentation has been compiled for informational purposes only. It does not constitute a recommendation to any party. The presentation relies on data and insights from a wide range of sources including public and private companies, market research firms, government agencies and industry professionals. We cite specific sources where information is public. The presentation is also informed by non-public information and insights. Information provided by third parties may not have been independently verified. Vertex Holdings believes such information to be reliable and adequately comprehensive but does not represent that such information is in all respects accurate or complete. Vertex Holdings shall not be held liable for any information provided. Any information or opinions provided in this report are as of the date of the report and Vertex Holdings is under no obligation to update the information or communicate that any updates have been made. About Vertex Ventures Vertex Ventures is a global network of operator-investors who manage portfolios in the U.S., China, Israel, India and Southeast Asia. Vertex teams combine firsthand experience in transformational technologies; on-the-ground knowledge in the world’s major innovation centers; and global context, connections and customers. About the Authors Emanuel TIMOR General Partner Vertex Ventures Israel emanuel@vertexventures.com XIA Zhi Jin Partner Vertex Ventures China xiazj@vertexventures.com Brian TOH Director Vertex Holdings btoh@vertexholdings.com Tracy JIN Director Vertex Holdings tjin@vertexholdings.com
  • 19. 19