SlideShare a Scribd company logo
1 of 68
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 1
KỸ THUẬT SỐ
Digital Electronics
Bộ môn Điện – Điện tử
Khoa Kỹ thuật Công nghiệp
Trường ĐH Tiền Giang
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 2
Địa chỉ liên hệ của tác giả
 Văn phòng:

Bộ môn Điện-Điện tử – Khoa Kỹ thuật Công nghiệp

Trường Đại học Tiền Giang

F.203– Số 119, Đường Ấp Bắc, Phường 05, Thành phố
Mỹ Tho, Tiền Giang

Điện thoại: 0733.872.624
 Giảng viên: Nguyễn Văn Thanh
 Mobile: 0903351675
 Email:

nguyenvanthanh@tgu.edu.vn
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 3
Mục đích học phần
 Cung cấp các kiến thức cơ bản về:

Cấu tạo

Nguyên lý hoạt động

Ứng dụng
của các mạch số (mạch logic, IC, chip…)
 Trang bị nguyên lý

Phân tích

Thiết kế
các mạch số cơ bản
 Tạo cơ sở tiếp thu các kiến thức chuyên ngành
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 4
Tài liệu tham khảo chính
 Nguyễn Thúy Vân, Kỹ thuật số , NXB KH&KT, Hà
Nội, 2004.
 Charles H. Roth, Fundamentals of logic design,
6th
edition , Prentice Hall, 2012.
 Tài liệu hướng dẫn Thực hành kỹ thuật số
 Đề cương CTHP
 http://www.alldatasheet.com
 Tài liệu tham khảo
 Tài liệu tham khảo
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 5
Thời lượng học phần
 Tổng thời lượng: 90 tiết

Lý thuyết: 30 tiết, tại giảng đường

Thực hành: 60 tiết.
 Hướng dẫn thực hành tại xưởng thực hành
 Phòng F.104, Khu F, Trường ĐH Tiền Giang
 Nộp báo cáo thực hành
 Không có báo cáo thực hành => 0 điểm.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 6
Nội dung của học phần
Chương 1. Các hệ thống số đếm
Chương 2. Đại số Boole và các phương pháp
biểu diễn hàm logic
Chương 3. Hệ tổ hợp
Chương 4. Hệ tuần tự
Chương 5. Các mạch logic lập trình
Chương 6. Các vi mạch số và vấn đề giao tiếp
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 7
Kỹ thuật số
Chương 4
HỆ TUẦN TỰ
Bộ môn Điện-Điện tử
Khoa Kỹ Thuật Công Nghiệp
Trường Đại học Tiền Giang
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 8
Nội dung chương 4
5.1. Khái niệm
5.2. Mô hình của hệ tuần tự
5.3. Các Trigger số
5.4. Một số ứng dụng của hệ tuần tự
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 9
4.1. Khái niệm
 Hệ tuần tự là hệ mà tín hiệu ra không chỉ phụ
thuộc vào tín hiệu vào tại thời điểm hiện tại mà
còn phụ thuộc vào quá khứ của tín hiệu vào.
 Hệ tuần tự còn được gọi là hệ có nhớ.
 Để thực hiện được hệ tuần tự, nhất thiết phải có
phần tử nhớ. Ngoài ra còn có thể có các phần tử
logic cơ bản.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 10
Phân loại hệ tuần tự
 Hệ tuần tự đồng bộ: khi làm việc cần có 1 tín hiệu
đồng bộ để giữ nhịp cho toàn bộ hệ hoạt động.
 Hệ tuần tự không đồng bộ: không cần tín hiệu
này để giữ nhịp chung cho toàn bộ hệ hoạt động.
 Hệ tuần tự đồng bộ nhanh hơn hệ tuần tự không
đồng bộ tuy nhiên lại có thiết kế phức tạp hơn.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 11
Nội dung chương 4
4.1. Khái niệm
4.2. Mô hình của hệ tuần tự
4.3. Các Trigger số
4.4. Một số ứng dụng của hệ dãy
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 12
Mô hình của hệ tuần tự
 Mô hình của hệ tuần tự được dùng để mô tả hệ
dãy thông qua tín hiệu vào, tín hiệu ra và trạng
thái của hệ mà không quan tâm đến cấu trúc bên
trong của hệ.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 13
Mô hình của hệ tuần tự (tiếp)
 Có 2 loại mô hình:

Mealy

Moore
 Hai loại mô hình trên có thể chuyển đổi qua lại cho
nhau.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 14
a. Mô hình Mealy
 Mô hình Mealy mô tả hệ dãy thông qua 5 tham số:
 X = {x1, x2, ..., xn}
 Y = {y1, y2, ..., yl}
 S = {s1, s2, ..., sm}
 FS(S, X)
 FY(S, X)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 15
Mô hình Mealy (tiếp)
 Giải thích các kí hiệu:

X là tập hợp hữu hạn n tín hiệu đầu vào

Y là tập hợp hữu hạn l tín hiệu đầu ra

S tập hợp hữu hạn m trạng thái trong của hệ
 FS là hàm biến đổi trạng thái. Đối với mô hình kiểu Mealy
thì FS phụ thuộc vào S và X → FS = FS(S, X)
 FY là hàm tính trạng thái đầu ra: FY = FY(S, X)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 16
b. Mô hình Moore
 Mô hình Moore giống như mô hình Mealy, nhưng
khác ở chỗ là FY chỉ phụ thuộc vào S:
FY = FY(S)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 17
Bảng chuyển trạng thái
 Mô hình Mealy:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 18
Bảng chuyển trạng thái (tiếp)
 Mô hình Moore:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 19
Ví dụ về mô hình hệ tuần tự
 Sử dụng mô hình Mealy và Moore để mô tả hệ dãy
thực hiện phép cộng.
 Ví dụ:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 20
Ví dụ: Mô hình Mealy
 X = {00, 01, 10, 11} - do có 2 đầu vào
 Y = {0, 1} - do có 1 đầu ra
 S = {s0, s1} - s0: trạng thái không nhớ
- s1: trạng thái có nhớ
 Hàm trạng thái FS(S, X):
FS(s0, 00) = s0 FS(s0, 01) = s0
FS(s0, 11) = s1 FS(s0, 10) = s0
FS(s1, 00) = s0 FS(s1, 10) = s1
FS(s1, 01) = s1 FS(s1, 11) = s1
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 21
Ví dụ: Mô hình Mealy (tiếp)
 Hàm ra FY(S, X):
FY(s0, 00) = 0 FY(s0, 11) = 0
FY(s0, 01) = 1 FY(s0, 10) = 1
FY(s1, 00) = 1 FY(s1, 10) = 0
FY(s1, 11) = 1 FY(s1, 01) = 0
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 22
Bảng chuyển trạng thái
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 23
Đồ hình chuyển trạng thái
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 24
Ví dụ: Mô hình Moore
 X = {00, 01, 10, 11} - do có 2 đầu vào
 Y = {0, 1} - do có 1 đầu ra
 S = {s00, s01, s10, s11} - sij: i = 0 là không nhớ
i = 1 là có nhớ
j = tín hiệu ra
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 25
Ví dụ: Mô hình Moore (tiếp)
 Hàm trạng thái FS(S, X):
FS(s00, 00) = s00 FS(s00, 10) = s01
FS(s00, 01) = s01 FS(s00, 11) = s10
FS(s01, 00) = s00 FS(s01, 10) = s01
FS(s01, 01) = s01 FS(s01, 11) = s10
FS(s10, 00) = s01 FS(s10, 10) = s10
FS(s10, 01) = s10 FS(s10, 11) = s11
FS(s11, 00) = s01 FS(s11, 01) = s10
FS(s11, 11) = s11 FS(s11, 10) = s10
 Hàm ra FY(S):
FY(s00) = 0 FY(s01) = 1
FY(s10) = 0 FY(s11) = 1
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 26
Bảng chuyển trạng thái
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 27
Đồ hình chuyển trạng thái
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 28
Nội dung chương 4
4.1. Khái niệm
4.2. Mô hình của hệ tuần tự
4.3. Các Trigger số
4.4. Một số ứng dụng của hệ tuần tự
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 29
Trigger
 Phần tử cơ bản của hệ tuần tự chính là các phần
tử nhớ hay còn gọi là các trigger
 Đầu ra của trigger chính là trạng thái của nó
 Một trigger có thể làm việc theo 2 kiểu:

Trigger không đồng bộ: đầu ra của trigger thay đổi chỉ
phụ thuộc vào tín hiệu đầu vào

Trigger đồng bộ: đầu ra của trigger thay đổi phụ thuộc
vào tín hiệu vào và tín hiệu đồng bộ
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 30
Các kiểu đồng bộ
 Đồng bộ theo mức:

Mức cao:
 Khi tín hiệu đồng bộ có giá trị logic
bằng 0 thì hệ nghỉ (giữ nguyên
trạng thái)
 Khi tín hiệu đồng bộ có giá trị logic
bằng 1 thì hệ làm việc bình thường.

Mức thấp:
 Khi tín hiệu đồng bộ có giá trị logic
bằng 1 thì hệ nghỉ (giữ nguyên
trạng thái)
 Khi tín hiệu đồng bộ có giá trị logic
bằng 0 thì hệ làm việc bình thường.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 31
Các kiểu đồng bộ (tiếp)
 Đồng bộ theo sườn:

Sườn dương:
 Khi tín hiệu đồng bộ xuất hiện
sườn dương (sườn đi lên, từ 0 →
1) thì hệ làm việc bình thường
 Trong các trường hợp còn lại, hệ
nghỉ (giữ nguyên trạng thái).

Sườn âm:
 Khi tín hiệu đồng bộ xuất hiện
sườn âm (sườn đi xuống, từ 1 →
0), hệ làm việc bình thường
 Trong các trường hợp còn lại, hệ
nghỉ (giữ nguyên trạng thái).
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 32
Các kiểu đồng bộ (tiếp)
 Đồng bộ kiểu xung:

Khi có xung thì hệ làm việc bình thường

Khi không có xung thì hệ nghỉ (giữ nguyên trạng
thái).
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 33
Các loại Trigger
 Có 4 loại Trigger:

RS Reset - Set Xóa - Thiết lập

D Delay Trễ

JK Jordan và Kelly Tên 2 nhà phát minh

T Toggle Bập bênh, bật tắt
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 34
a. Trigger RS
 Sơ đồ khối:
 Trigger RS hoạt động được ở cả 2 chế độ đồng bộ và không đồng bộ
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 35
Bảng chuyển trạng thái của RS
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 36
Ví dụ
 Cho Trigger RS đồng bộ mức cao và đồ thị các tín
hiệu R, S như hình vẽ. Hãy vẽ đồ thị tín hiệu ra Q.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 37
Ví dụ (tiếp)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 38
 Trigơ SR chốt mức cao:
 Trigơ RS chốt mức thấp:
R
S
Q
Q'
S R Q Q'
1 0 1 0 initial
0 0 1 0 (afer S=1, R=0)
0 1 0 1
0 0 0 1 (after S=0, R=1)
1 1 0 0 invalid!
S' R' Q Q'
1 0 0 1 initial
1 1 0 1 (afer S'=1, R'=0)
0 1 1 0
1 1 1 0 (after S'=0, R'=1)
0 0 1 1 invalid!
S'
R'
Q
Q'
0
1
1
0
0
0
1
0
1
0
0
1
0
0
0
1
1
1
0
0
Ví dụ (tiếp)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 39
b. Trigger D
 Trigger D có 1 đầu vào là D và hoạt động ở 2 chế
độ đồng bộ và không đồng bộ.
 Ta chỉ xét trigger D hoạt động ở chế độ đồng bộ.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 40
Trigger D đồng bộ
 Trigger D đồng bộ theo mức gọi là chốt D (Latch)
 Trigger D đồng bộ theo sườn được gọi là xuất phát sườn
(Edge trigged)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 41
Bảng chuyển trạng thái của D
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 42
Ví dụ 1
 Cho chốt D kích hoạt mức cao. Hãy vẽ tín hiệu ra
Q dóng trên cùng trục thời gian với tín hiệu vào D.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 43
Ví dụ 1 (tiếp)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 44
Ví dụ 2
 Cho trigger D xuất phát sườn dương. Hãy vẽ tín
hiệu ra Q dóng trên cùng trục thời gian với tín hiệu
vào D.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 45
Ví dụ 2 (tiếp)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 46
c. Trigger JK
 Trigger JK chỉ hoạt động ở chế độ đồng bộ
 Sơ đồ khối:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 47
Bảng chuyển trạng thái của JK
J ~ S
K ~ R
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 48
d. Trigger T
 Trigger T chỉ hoạt động ở chế độ đồng bộ
 Sơ đồ khối:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 49
Bảng chuyển trạng thái của T
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 50
Nội dung chương 4
5.1. Khái niệm
5.2. Mô hình của hệ dãy
5.3. Các Trigger số
5.4. Một số ứng dụng của hệ dãy
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 51
1. Bộ đếm và chia tần số
 Bộ đếm được dùng để đếm xung
 Bộ đếm được gọi là module n nếu nó có thể
đếm được n xung: từ 0 đến n-1
 Có 2 loại bộ đếm:

Bộ đếm không đồng bộ: không đồng thời đưa
tín hiệu đếm vào các đầu vào của các trigger

Bộ đếm đồng bộ: có xung đếm đồng thời là
xung đồng hồ clock đưa vào tất cả các trigger
của bộ đếm
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 52
Bộ đếm không đồng bộ module 16
 Đếm từ 0 đến 15 và có 16 trạng thái
 Mã hóa thành 4 bit A,B,C,D tương ứng với
q4,q3,q2,q1
 Cần dùng 4 trigger (giả sử dùng trigger JK)
1
1
1
1
1
1
1
1
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 53
Bộ đếm không đồng bộ module 16
 Bảng đếm xung:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 54
 Biểu đồ thời gian:
 NX: Bộ đếm này đồng thời cũng là bộ chia tần số
Bộ đếm không đồng bộ module 16
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 55
 Có 10 trạng thái ⇒ cần dùng 4 Trigger
 Giả sử dùng Trigger JK có đầu vào CLR (CLEAR: xóa) tích
cực ở mức thấp

Nếu CLR = 0 thì q = 0
 Cứ mỗi khi đếm đến xung thứ 10 thì tất cả các q bị xóa về 0
 Sơ đồ: (các J=K=1)
Bộ đếm không đồng bộ module 10
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 56
Bộ đếm đồng bộ module 8
 Có 8 trạng thái ⇒ cần dùng 3 Trigger
 Giả sử dùng các Trigger JK
 Bảng đếm xung:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 57
Bộ đếm đồng bộ module 8 (tiếp)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 58
Bộ đếm lùi không đồng bộ module
8
 Giả sử dùng Trigger JK có đầu vào PR (PRESET:
thiết lập trước) tích cực ở mức thấp

Nếu PR = 0 thì q = 1
 Đầu tiên cho PR = 0 thì q1q2q3 = 111
 Sau đó cho PR = 1, hệ hoạt động bình thường
xung q3 q2 q1
0
1
2
3
4
5
6
7
8
1
1
1
1
0
0
0
0
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
Số đếm
7
6
5
4
3
2
1
0
7
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 59
Bộ đếm lùi không đồng bộ module
8
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 60
2. Thanh ghi
 Thanh ghi có cấu tạo gồm các trigger nối với
nhau
 Chức năng:

Để lưu trữ tạm thời thông tin

Dịch chuyển thông tin
 Lưu ý: cả thanh ghi và bộ nhớ đều dùng để lưu
trữ thông tin, nhưng thanh ghi có chức năng dịch
chuyển thông tin. Do đó, thanh ghi có thể sử
dụng làm bộ nhớ, nhưng bộ nhớ không thể làm
được thanh ghi.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 61
Phân loại
 Vào nối tiếp ra nối tiếp
 Vào nối tiếp ra song song
 Vào song song ra nối tiếp
 Vào song song ra song song
0 1 0 1 0 0 11
0 1 0 1 0 0 11
0 1 0 1 0 0 11
0 1 0 1 0 0 11
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 62
Ví dụ
 Thanh ghi 4 bit vào nối tiếp ra song song dùng
Trigger D
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 63
Ví dụ (tiếp)
 Bảng số liệu khảo sát:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 64
Tổng kết
1- Tính chất cơ bản của Trigơ:
 Trigơ có hai trạng thái ổn định, dưới tác dụng của tín hiệu
bên ngoài có thể chuyển đổi từ trạng thái ổn định này sang
trạng thái ổn định kia, nếu không có tác dụng tín hiệu bên
ngoài thì nó duy trì mãi trạng thái ổn định vốn có.
 Trigơ có thể được dùng làm phần tử nhớ của số nhị phân.
Mạch logic tuần tự có tín hiệu đầu ra phụ
thuộc không những tín hiệu đầu vào ở thời
điểm xét mà cả vào trạng thái mạch điện
sẵn có ở thời điểm đó. Để nhớ trạng thái
mạch điện, mạch tuần tự phải có phần tử
nhớ - đó là các trigơ.
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 65
2-Quan hệ giữa chức năng logic và hình thức
cấu trúc của trigơ
 Chức năng logic là quan hệ giữa trạng thái tiếp theo của
đầu ra với trạng thái hiện tại của đầu ra và các tín hiệu
đầu vào.
 Do chức năng logic khác nhau mà trigơ được phân thành
các loại RS, D, T, JK. Còn do hình thức cấu trúc khác
nhau mà trigơ lại được phân thành loại trigơ thường và
loại trigơ chính phụ.
 Một trigơ có chức năng logic xác định có thể thực hiện
bằng các hình thức cấu trúc khác nhau.
 Ví dụ: các trigơ cấu trúc loại chính phụ và loại thường đều
có thể thực hiện chức năng của một trigơ khác. Nghĩa là
cùng một cấu trúc có thể đảm trách những chức năng
khác nhau.
Tổng kết (1)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 66
3-Mạch tuần tự cụ thể có rất nhiều chủng loại
 Chương này chỉ giới thiệu một số loại
mạch tuần tự điển hình: bộ đếm, bộ ghi dịch…
 Đồng thời với việc nắm vững cấu trúc, nguyên lý
công tác và đặc điểm của các mạch tuần tự đó,
chúng ta cũng phải nắm vững được đặc điểm
chung của mạch tuần tự và phương pháp chung
khi phân tích và thiết kế mạch tuần tự.
Tổng kết(2)
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 67
Bài tập
1. Thiết kế bộ đếm đồng bộ dùng Trigơ RS có dãy đếm sau:
000, 010, 101, 110 và lặp lại.
2. Thiết kế bộ đếm không đồng bộ dùng Trigơ D với dãy
đếm sau: 000, 001, 011, 010, 110,111, 101, 100, 000 . . .
3. Thiết kế một mạch đếm đồng bộ dùng Trigơ JK tác động
cạnh xuống, có dãy đếm như sau: 000, 001, 011, 111,
110, 100, 001. . . Những trạng thái không sử dụng được
đưa về trạng thái 000 ở xung đồng hồ kế tiếp. Vẽ sơ đồ
mạch.
4. Câu hỏi trắc nghiệm:
GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 68
Hết chương 4

More Related Content

What's hot

Ky Thuat So buu chinh vien thong
Ky Thuat So buu chinh vien thongKy Thuat So buu chinh vien thong
Ky Thuat So buu chinh vien thonghuy2501
 
Luận văn thạc sĩ toán học
Luận văn thạc sĩ toán họcLuận văn thạc sĩ toán học
Luận văn thạc sĩ toán họcDang Van Ly
 
Ứng dụng logic mờ trong bài toán điều khiển
Ứng dụng logic mờ trong bài toán điều khiểnỨng dụng logic mờ trong bài toán điều khiển
Ứng dụng logic mờ trong bài toán điều khiểnTho Q Luong Luong
 
xử lý số tín hiệu - chuong 1
xử lý số tín hiệu - chuong 1xử lý số tín hiệu - chuong 1
xử lý số tín hiệu - chuong 1Ngai Hoang Van
 
Xử lí tín hiệu số
Xử lí tín hiệu số Xử lí tín hiệu số
Xử lí tín hiệu số Tran An
 
Xu lytinhieuso thay.tv_loan
Xu lytinhieuso thay.tv_loanXu lytinhieuso thay.tv_loan
Xu lytinhieuso thay.tv_loanTrung Nguyen
 
Dien Tu So BHIU
Dien Tu So  BHIUDien Tu So  BHIU
Dien Tu So BHIUmark
 
đIều khiển logic và plc ts. nguyễn như hiền
đIều khiển logic và plc ts. nguyễn như hiềnđIều khiển logic và plc ts. nguyễn như hiền
đIều khiển logic và plc ts. nguyễn như hiềnebookbkmt
 
Bai giang dklg new 6 (repaired)
Bai giang dklg new 6 (repaired)Bai giang dklg new 6 (repaired)
Bai giang dklg new 6 (repaired)Namzekeng Nzk
 

What's hot (20)

Luận văn: Bài toán ổn định hóa hệ phương trình điều khiển phi tuyến
Luận văn: Bài toán ổn định hóa hệ phương trình điều khiển phi tuyếnLuận văn: Bài toán ổn định hóa hệ phương trình điều khiển phi tuyến
Luận văn: Bài toán ổn định hóa hệ phương trình điều khiển phi tuyến
 
Ky Thuat So buu chinh vien thong
Ky Thuat So buu chinh vien thongKy Thuat So buu chinh vien thong
Ky Thuat So buu chinh vien thong
 
Luận văn thạc sĩ toán học
Luận văn thạc sĩ toán họcLuận văn thạc sĩ toán học
Luận văn thạc sĩ toán học
 
Luận văn: Giải gần đúng phương trình phi tuyến và phương trình vi phân trên m...
Luận văn: Giải gần đúng phương trình phi tuyến và phương trình vi phân trên m...Luận văn: Giải gần đúng phương trình phi tuyến và phương trình vi phân trên m...
Luận văn: Giải gần đúng phương trình phi tuyến và phương trình vi phân trên m...
 
Đề tài: Bài toán giá trị đầu cho phương trình vi phân đạo hàm riêng cấp hai t...
Đề tài: Bài toán giá trị đầu cho phương trình vi phân đạo hàm riêng cấp hai t...Đề tài: Bài toán giá trị đầu cho phương trình vi phân đạo hàm riêng cấp hai t...
Đề tài: Bài toán giá trị đầu cho phương trình vi phân đạo hàm riêng cấp hai t...
 
Ứng dụng logic mờ trong bài toán điều khiển
Ứng dụng logic mờ trong bài toán điều khiểnỨng dụng logic mờ trong bài toán điều khiển
Ứng dụng logic mờ trong bài toán điều khiển
 
Đề tài: Xây dựng bài thí nghiệm xử lý tín hiệu số trên Matlab
Đề tài: Xây dựng bài thí nghiệm xử lý tín hiệu số trên MatlabĐề tài: Xây dựng bài thí nghiệm xử lý tín hiệu số trên Matlab
Đề tài: Xây dựng bài thí nghiệm xử lý tín hiệu số trên Matlab
 
Dien tu so
Dien tu soDien tu so
Dien tu so
 
xử lý số tín hiệu - chuong 1
xử lý số tín hiệu - chuong 1xử lý số tín hiệu - chuong 1
xử lý số tín hiệu - chuong 1
 
Xử lí tín hiệu số
Xử lí tín hiệu số Xử lí tín hiệu số
Xử lí tín hiệu số
 
GV
GVGV
GV
 
Xu lytinhieuso thay.tv_loan
Xu lytinhieuso thay.tv_loanXu lytinhieuso thay.tv_loan
Xu lytinhieuso thay.tv_loan
 
1 2
1 21 2
1 2
 
Ltdktd2
Ltdktd2Ltdktd2
Ltdktd2
 
Dien Tu So BHIU
Dien Tu So  BHIUDien Tu So  BHIU
Dien Tu So BHIU
 
đIều khiển logic và plc ts. nguyễn như hiền
đIều khiển logic và plc ts. nguyễn như hiềnđIều khiển logic và plc ts. nguyễn như hiền
đIều khiển logic và plc ts. nguyễn như hiền
 
Bai giang dklg new 6 (repaired)
Bai giang dklg new 6 (repaired)Bai giang dklg new 6 (repaired)
Bai giang dklg new 6 (repaired)
 
Ltm
LtmLtm
Ltm
 
Btl xlths 2 cuoi cung
Btl xlths 2 cuoi cungBtl xlths 2 cuoi cung
Btl xlths 2 cuoi cung
 
Fantichfourier
FantichfourierFantichfourier
Fantichfourier
 

Viewers also liked

Introduction, schools for the future, april
Introduction, schools for the future, aprilIntroduction, schools for the future, april
Introduction, schools for the future, aprilMinna Levin
 
Espumador de Leche [Motorización] - Máquinas Simples | Fischertechnik
Espumador de Leche [Motorización] - Máquinas Simples | FischertechnikEspumador de Leche [Motorización] - Máquinas Simples | Fischertechnik
Espumador de Leche [Motorización] - Máquinas Simples | FischertechnikProyecto Robótica
 
The Orange County Animal Shelter: The Facility, The Function, The Future
The Orange County Animal Shelter: The Facility, The Function, The FutureThe Orange County Animal Shelter: The Facility, The Function, The Future
The Orange County Animal Shelter: The Facility, The Function, The FutureNo Kill Shelter Alliance
 
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap..."La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...companysarts
 
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)onearbaein
 
Caspian Environment Programme
Caspian Environment ProgrammeCaspian Environment Programme
Caspian Environment ProgrammeIwl Pcu
 
Pthdkd.DangThiMyDung
Pthdkd.DangThiMyDungPthdkd.DangThiMyDung
Pthdkd.DangThiMyDungLong Tran Huy
 
Camión de Volteo - Máquinas Simples | Fischertechnik
Camión de Volteo - Máquinas Simples | FischertechnikCamión de Volteo - Máquinas Simples | Fischertechnik
Camión de Volteo - Máquinas Simples | FischertechnikProyecto Robótica
 
Pastel de carne picada y champiñones
Pastel de carne picada y champiñonesPastel de carne picada y champiñones
Pastel de carne picada y champiñonesisabelaloabal
 
bouwkundig detailleren van een lichte bovenbouw
bouwkundig detailleren van een lichte bovenbouwbouwkundig detailleren van een lichte bovenbouw
bouwkundig detailleren van een lichte bovenbouwGert-Willem Van Gompel
 
Ejercicios de repaso de los temas 1 y 2
Ejercicios de repaso de los temas 1 y 2Ejercicios de repaso de los temas 1 y 2
Ejercicios de repaso de los temas 1 y 2epvmanantiales
 

Viewers also liked (20)

OCACEuthAnalysis
OCACEuthAnalysisOCACEuthAnalysis
OCACEuthAnalysis
 
Introduction, schools for the future, april
Introduction, schools for the future, aprilIntroduction, schools for the future, april
Introduction, schools for the future, april
 
Espumador de Leche [Motorización] - Máquinas Simples | Fischertechnik
Espumador de Leche [Motorización] - Máquinas Simples | FischertechnikEspumador de Leche [Motorización] - Máquinas Simples | Fischertechnik
Espumador de Leche [Motorización] - Máquinas Simples | Fischertechnik
 
The Orange County Animal Shelter: The Facility, The Function, The Future
The Orange County Animal Shelter: The Facility, The Function, The FutureThe Orange County Animal Shelter: The Facility, The Function, The Future
The Orange County Animal Shelter: The Facility, The Function, The Future
 
FEMA IS-00100.b
FEMA IS-00100.bFEMA IS-00100.b
FEMA IS-00100.b
 
School Plots For Sale Gurgaon
School Plots For Sale GurgaonSchool Plots For Sale Gurgaon
School Plots For Sale Gurgaon
 
Dccthp vxlvdk
Dccthp vxlvdkDccthp vxlvdk
Dccthp vxlvdk
 
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap..."La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...
"La xarxa com a instrument d'aprenentatge i estimulació per a persones discap...
 
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)
Penilaian: Isu Penilaian di dalam Bilik Darjah (Laporan)
 
Caspian Environment Programme
Caspian Environment ProgrammeCaspian Environment Programme
Caspian Environment Programme
 
Werkveldorientatie flyer
Werkveldorientatie flyerWerkveldorientatie flyer
Werkveldorientatie flyer
 
Pthdkd.DangThiMyDung
Pthdkd.DangThiMyDungPthdkd.DangThiMyDung
Pthdkd.DangThiMyDung
 
Camión de Volteo - Máquinas Simples | Fischertechnik
Camión de Volteo - Máquinas Simples | FischertechnikCamión de Volteo - Máquinas Simples | Fischertechnik
Camión de Volteo - Máquinas Simples | Fischertechnik
 
Pastel de carne picada y champiñones
Pastel de carne picada y champiñonesPastel de carne picada y champiñones
Pastel de carne picada y champiñones
 
bouwkundig detailleren van een lichte bovenbouw
bouwkundig detailleren van een lichte bovenbouwbouwkundig detailleren van een lichte bovenbouw
bouwkundig detailleren van een lichte bovenbouw
 
Franz schubert
Franz schubertFranz schubert
Franz schubert
 
Ejercicios de repaso de los temas 1 y 2
Ejercicios de repaso de los temas 1 y 2Ejercicios de repaso de los temas 1 y 2
Ejercicios de repaso de los temas 1 y 2
 
Cursos de formación
Cursos de formaciónCursos de formación
Cursos de formación
 
How not to be a victim (of other peoples’ mistakes)
How not to be a victim (of other peoples’ mistakes)How not to be a victim (of other peoples’ mistakes)
How not to be a victim (of other peoples’ mistakes)
 
Thesis Digital Fabrication - SPIF
Thesis Digital Fabrication - SPIFThesis Digital Fabrication - SPIF
Thesis Digital Fabrication - SPIF
 

Similar to KTS.NguyenVanThanh

Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại số
Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại sốPhương pháp runge kutta giải gần đúng hệ phương trình vi phân đại số
Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại sốKhu Tiến
 
Lớp 11 --chương 4 -- bài 11 --Kiểu mảng
Lớp 11 --chương 4 -- bài 11 --Kiểu mảngLớp 11 --chương 4 -- bài 11 --Kiểu mảng
Lớp 11 --chương 4 -- bài 11 --Kiểu mảngHoàng Kỳ Anh
 
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdf
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdfĐiều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdf
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdfMan_Ebook
 
Dien tu so dhbk ha noi
Dien tu so   dhbk ha noiDien tu so   dhbk ha noi
Dien tu so dhbk ha noiHung Mobi QL
 
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdf
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdfĐiều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdf
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdfMan_Ebook
 
Baigiang - bai4. Bài Toán Và Thuật Toán
Baigiang - bai4. Bài Toán Và Thuật ToánBaigiang - bai4. Bài Toán Và Thuật Toán
Baigiang - bai4. Bài Toán Và Thuật ToánVan Vo
 
Cách thức ôn thi vật lí đại học
Cách thức ôn thi vật lí đại họcCách thức ôn thi vật lí đại học
Cách thức ôn thi vật lí đại họcTrong Nguyen
 
bai giang Matlab
bai giang Matlabbai giang Matlab
bai giang Matlableoteo113
 
Dien Tu So Dhbk Ha Noi
Dien Tu So   Dhbk Ha NoiDien Tu So   Dhbk Ha Noi
Dien Tu So Dhbk Ha Noimark
 
Bai tapep ctunglop
Bai tapep ctunglopBai tapep ctunglop
Bai tapep ctunglopHồ Lợi
 
Tai lieu boi duong doi tuyen quoc gia 2013 3
Tai lieu boi duong doi tuyen quoc gia 2013 3Tai lieu boi duong doi tuyen quoc gia 2013 3
Tai lieu boi duong doi tuyen quoc gia 2013 3nataliej4
 
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...Nguyen Vietnam
 
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...Dịch vụ viết bài trọn gói ZALO: 0909232620
 

Similar to KTS.NguyenVanThanh (20)

Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại số
Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại sốPhương pháp runge kutta giải gần đúng hệ phương trình vi phân đại số
Phương pháp runge kutta giải gần đúng hệ phương trình vi phân đại số
 
Luận văn: Giải số phương trình vi phân đại số bằng đa bước, 9đ
Luận văn: Giải số phương trình vi phân đại số bằng đa bước, 9đLuận văn: Giải số phương trình vi phân đại số bằng đa bước, 9đ
Luận văn: Giải số phương trình vi phân đại số bằng đa bước, 9đ
 
Dtth
DtthDtth
Dtth
 
Dang thuc to hop
Dang thuc to hopDang thuc to hop
Dang thuc to hop
 
Luận văn: Tính chất nghiệm của phương trình vi phân tuyến tính
Luận văn: Tính chất nghiệm của phương trình vi phân tuyến tínhLuận văn: Tính chất nghiệm của phương trình vi phân tuyến tính
Luận văn: Tính chất nghiệm của phương trình vi phân tuyến tính
 
Lớp 11 --chương 4 -- bài 11 --Kiểu mảng
Lớp 11 --chương 4 -- bài 11 --Kiểu mảngLớp 11 --chương 4 -- bài 11 --Kiểu mảng
Lớp 11 --chương 4 -- bài 11 --Kiểu mảng
 
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdf
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdfĐiều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdf
Điều khiển nhiệt độ trong lò ấp trứng ứng dụng logic mờ, Bùi Quang Vinh.pdf
 
Dien tu so dhbk ha noi
Dien tu so   dhbk ha noiDien tu so   dhbk ha noi
Dien tu so dhbk ha noi
 
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdf
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdfĐiều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdf
Điều khiển H trong thời gian hữu hạn của hệ nơ ron thần kinh phân thứ.pdf
 
Baigiang - bai4. Bài Toán Và Thuật Toán
Baigiang - bai4. Bài Toán Và Thuật ToánBaigiang - bai4. Bài Toán Và Thuật Toán
Baigiang - bai4. Bài Toán Và Thuật Toán
 
Cách thức ôn thi vật lí đại học
Cách thức ôn thi vật lí đại họcCách thức ôn thi vật lí đại học
Cách thức ôn thi vật lí đại học
 
bai giang Matlab
bai giang Matlabbai giang Matlab
bai giang Matlab
 
Hệ Động Lực Học Dạng Phương Trình Sai Phân Bậc Nhất.doc
Hệ Động Lực Học Dạng Phương Trình Sai Phân Bậc Nhất.docHệ Động Lực Học Dạng Phương Trình Sai Phân Bậc Nhất.doc
Hệ Động Lực Học Dạng Phương Trình Sai Phân Bậc Nhất.doc
 
Đề tài: Một số phương pháp giải bài toán phương trình đạo hàm riêng biên trị
Đề tài: Một số phương pháp giải bài toán phương trình đạo hàm riêng biên trịĐề tài: Một số phương pháp giải bài toán phương trình đạo hàm riêng biên trị
Đề tài: Một số phương pháp giải bài toán phương trình đạo hàm riêng biên trị
 
Dien Tu So Dhbk Ha Noi
Dien Tu So   Dhbk Ha NoiDien Tu So   Dhbk Ha Noi
Dien Tu So Dhbk Ha Noi
 
Bai tapep ctunglop
Bai tapep ctunglopBai tapep ctunglop
Bai tapep ctunglop
 
Tai lieu boi duong doi tuyen quoc gia 2013 3
Tai lieu boi duong doi tuyen quoc gia 2013 3Tai lieu boi duong doi tuyen quoc gia 2013 3
Tai lieu boi duong doi tuyen quoc gia 2013 3
 
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...
[Math educare.com] giai tich ham nhieu bien-phep tinh vi tich phan ham nhieu ...
 
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...
Luận văn: Một số lớp bài toán về loại phương trình hàm, HAY - Gửi miễn phí qu...
 
Luận văn: Một số lớp bài toán về phương trình hàm, HAY, 9đ
Luận văn: Một số lớp bài toán về phương trình hàm, HAY, 9đLuận văn: Một số lớp bài toán về phương trình hàm, HAY, 9đ
Luận văn: Một số lớp bài toán về phương trình hàm, HAY, 9đ
 

More from Long Tran Huy (20)

dccthp nmcntt
dccthp nmcnttdccthp nmcntt
dccthp nmcntt
 
NMCNTT.DuongVanHieu
NMCNTT.DuongVanHieuNMCNTT.DuongVanHieu
NMCNTT.DuongVanHieu
 
vxl.vdk.TranThanhPhong
vxl.vdk.TranThanhPhongvxl.vdk.TranThanhPhong
vxl.vdk.TranThanhPhong
 
DCCTHP NON
DCCTHP NONDCCTHP NON
DCCTHP NON
 
DCCTHP MKD
DCCTHP MKDDCCTHP MKD
DCCTHP MKD
 
MKD.HoangHuuDuy
MKD.HoangHuuDuyMKD.HoangHuuDuy
MKD.HoangHuuDuy
 
Dccthp ktdt
Dccthp ktdtDccthp ktdt
Dccthp ktdt
 
ktdt1.PhanThiThuyMy
ktdt1.PhanThiThuyMyktdt1.PhanThiThuyMy
ktdt1.PhanThiThuyMy
 
Dccthp nnl1
Dccthp nnl1Dccthp nnl1
Dccthp nnl1
 
dlcmcdcsvn
dlcmcdcsvndlcmcdcsvn
dlcmcdcsvn
 
DLCM.LeMinhTan
DLCM.LeMinhTanDLCM.LeMinhTan
DLCM.LeMinhTan
 
Dccthp tthcm
Dccthp tthcmDccthp tthcm
Dccthp tthcm
 
TTHCM.NguyenThiThao
TTHCM.NguyenThiThaoTTHCM.NguyenThiThao
TTHCM.NguyenThiThao
 
Dccthp qth
Dccthp  qthDccthp  qth
Dccthp qth
 
Qth.LeHongPhuong
Qth.LeHongPhuongQth.LeHongPhuong
Qth.LeHongPhuong
 
Dccthp LHSPDL
Dccthp LHSPDLDccthp LHSPDL
Dccthp LHSPDL
 
LHSPDL.HoDoanThuyMyChau
LHSPDL.HoDoanThuyMyChauLHSPDL.HoDoanThuyMyChau
LHSPDL.HoDoanThuyMyChau
 
PLDC.NguyenThiKhuyen
PLDC.NguyenThiKhuyenPLDC.NguyenThiKhuyen
PLDC.NguyenThiKhuyen
 
DCCTHP Qtkd
DCCTHP QtkdDCCTHP Qtkd
DCCTHP Qtkd
 
incoterms.NguyenThiNgocPhuong
incoterms.NguyenThiNgocPhuongincoterms.NguyenThiNgocPhuong
incoterms.NguyenThiNgocPhuong
 

KTS.NguyenVanThanh

  • 1. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 1 KỸ THUẬT SỐ Digital Electronics Bộ môn Điện – Điện tử Khoa Kỹ thuật Công nghiệp Trường ĐH Tiền Giang
  • 2. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 2 Địa chỉ liên hệ của tác giả  Văn phòng:  Bộ môn Điện-Điện tử – Khoa Kỹ thuật Công nghiệp  Trường Đại học Tiền Giang  F.203– Số 119, Đường Ấp Bắc, Phường 05, Thành phố Mỹ Tho, Tiền Giang  Điện thoại: 0733.872.624  Giảng viên: Nguyễn Văn Thanh  Mobile: 0903351675  Email:  nguyenvanthanh@tgu.edu.vn
  • 3. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 3 Mục đích học phần  Cung cấp các kiến thức cơ bản về:  Cấu tạo  Nguyên lý hoạt động  Ứng dụng của các mạch số (mạch logic, IC, chip…)  Trang bị nguyên lý  Phân tích  Thiết kế các mạch số cơ bản  Tạo cơ sở tiếp thu các kiến thức chuyên ngành
  • 4. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 4 Tài liệu tham khảo chính  Nguyễn Thúy Vân, Kỹ thuật số , NXB KH&KT, Hà Nội, 2004.  Charles H. Roth, Fundamentals of logic design, 6th edition , Prentice Hall, 2012.  Tài liệu hướng dẫn Thực hành kỹ thuật số  Đề cương CTHP  http://www.alldatasheet.com  Tài liệu tham khảo  Tài liệu tham khảo
  • 5. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 5 Thời lượng học phần  Tổng thời lượng: 90 tiết  Lý thuyết: 30 tiết, tại giảng đường  Thực hành: 60 tiết.  Hướng dẫn thực hành tại xưởng thực hành  Phòng F.104, Khu F, Trường ĐH Tiền Giang  Nộp báo cáo thực hành  Không có báo cáo thực hành => 0 điểm.
  • 6. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 6 Nội dung của học phần Chương 1. Các hệ thống số đếm Chương 2. Đại số Boole và các phương pháp biểu diễn hàm logic Chương 3. Hệ tổ hợp Chương 4. Hệ tuần tự Chương 5. Các mạch logic lập trình Chương 6. Các vi mạch số và vấn đề giao tiếp
  • 7. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 7 Kỹ thuật số Chương 4 HỆ TUẦN TỰ Bộ môn Điện-Điện tử Khoa Kỹ Thuật Công Nghiệp Trường Đại học Tiền Giang
  • 8. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 8 Nội dung chương 4 5.1. Khái niệm 5.2. Mô hình của hệ tuần tự 5.3. Các Trigger số 5.4. Một số ứng dụng của hệ tuần tự
  • 9. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 9 4.1. Khái niệm  Hệ tuần tự là hệ mà tín hiệu ra không chỉ phụ thuộc vào tín hiệu vào tại thời điểm hiện tại mà còn phụ thuộc vào quá khứ của tín hiệu vào.  Hệ tuần tự còn được gọi là hệ có nhớ.  Để thực hiện được hệ tuần tự, nhất thiết phải có phần tử nhớ. Ngoài ra còn có thể có các phần tử logic cơ bản.
  • 10. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 10 Phân loại hệ tuần tự  Hệ tuần tự đồng bộ: khi làm việc cần có 1 tín hiệu đồng bộ để giữ nhịp cho toàn bộ hệ hoạt động.  Hệ tuần tự không đồng bộ: không cần tín hiệu này để giữ nhịp chung cho toàn bộ hệ hoạt động.  Hệ tuần tự đồng bộ nhanh hơn hệ tuần tự không đồng bộ tuy nhiên lại có thiết kế phức tạp hơn.
  • 11. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 11 Nội dung chương 4 4.1. Khái niệm 4.2. Mô hình của hệ tuần tự 4.3. Các Trigger số 4.4. Một số ứng dụng của hệ dãy
  • 12. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 12 Mô hình của hệ tuần tự  Mô hình của hệ tuần tự được dùng để mô tả hệ dãy thông qua tín hiệu vào, tín hiệu ra và trạng thái của hệ mà không quan tâm đến cấu trúc bên trong của hệ.
  • 13. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 13 Mô hình của hệ tuần tự (tiếp)  Có 2 loại mô hình:  Mealy  Moore  Hai loại mô hình trên có thể chuyển đổi qua lại cho nhau.
  • 14. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 14 a. Mô hình Mealy  Mô hình Mealy mô tả hệ dãy thông qua 5 tham số:  X = {x1, x2, ..., xn}  Y = {y1, y2, ..., yl}  S = {s1, s2, ..., sm}  FS(S, X)  FY(S, X)
  • 15. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 15 Mô hình Mealy (tiếp)  Giải thích các kí hiệu:  X là tập hợp hữu hạn n tín hiệu đầu vào  Y là tập hợp hữu hạn l tín hiệu đầu ra  S tập hợp hữu hạn m trạng thái trong của hệ  FS là hàm biến đổi trạng thái. Đối với mô hình kiểu Mealy thì FS phụ thuộc vào S và X → FS = FS(S, X)  FY là hàm tính trạng thái đầu ra: FY = FY(S, X)
  • 16. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 16 b. Mô hình Moore  Mô hình Moore giống như mô hình Mealy, nhưng khác ở chỗ là FY chỉ phụ thuộc vào S: FY = FY(S)
  • 17. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 17 Bảng chuyển trạng thái  Mô hình Mealy:
  • 18. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 18 Bảng chuyển trạng thái (tiếp)  Mô hình Moore:
  • 19. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 19 Ví dụ về mô hình hệ tuần tự  Sử dụng mô hình Mealy và Moore để mô tả hệ dãy thực hiện phép cộng.  Ví dụ:
  • 20. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 20 Ví dụ: Mô hình Mealy  X = {00, 01, 10, 11} - do có 2 đầu vào  Y = {0, 1} - do có 1 đầu ra  S = {s0, s1} - s0: trạng thái không nhớ - s1: trạng thái có nhớ  Hàm trạng thái FS(S, X): FS(s0, 00) = s0 FS(s0, 01) = s0 FS(s0, 11) = s1 FS(s0, 10) = s0 FS(s1, 00) = s0 FS(s1, 10) = s1 FS(s1, 01) = s1 FS(s1, 11) = s1
  • 21. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 21 Ví dụ: Mô hình Mealy (tiếp)  Hàm ra FY(S, X): FY(s0, 00) = 0 FY(s0, 11) = 0 FY(s0, 01) = 1 FY(s0, 10) = 1 FY(s1, 00) = 1 FY(s1, 10) = 0 FY(s1, 11) = 1 FY(s1, 01) = 0
  • 22. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 22 Bảng chuyển trạng thái
  • 23. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 23 Đồ hình chuyển trạng thái
  • 24. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 24 Ví dụ: Mô hình Moore  X = {00, 01, 10, 11} - do có 2 đầu vào  Y = {0, 1} - do có 1 đầu ra  S = {s00, s01, s10, s11} - sij: i = 0 là không nhớ i = 1 là có nhớ j = tín hiệu ra
  • 25. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 25 Ví dụ: Mô hình Moore (tiếp)  Hàm trạng thái FS(S, X): FS(s00, 00) = s00 FS(s00, 10) = s01 FS(s00, 01) = s01 FS(s00, 11) = s10 FS(s01, 00) = s00 FS(s01, 10) = s01 FS(s01, 01) = s01 FS(s01, 11) = s10 FS(s10, 00) = s01 FS(s10, 10) = s10 FS(s10, 01) = s10 FS(s10, 11) = s11 FS(s11, 00) = s01 FS(s11, 01) = s10 FS(s11, 11) = s11 FS(s11, 10) = s10  Hàm ra FY(S): FY(s00) = 0 FY(s01) = 1 FY(s10) = 0 FY(s11) = 1
  • 26. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 26 Bảng chuyển trạng thái
  • 27. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 27 Đồ hình chuyển trạng thái
  • 28. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 28 Nội dung chương 4 4.1. Khái niệm 4.2. Mô hình của hệ tuần tự 4.3. Các Trigger số 4.4. Một số ứng dụng của hệ tuần tự
  • 29. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 29 Trigger  Phần tử cơ bản của hệ tuần tự chính là các phần tử nhớ hay còn gọi là các trigger  Đầu ra của trigger chính là trạng thái của nó  Một trigger có thể làm việc theo 2 kiểu:  Trigger không đồng bộ: đầu ra của trigger thay đổi chỉ phụ thuộc vào tín hiệu đầu vào  Trigger đồng bộ: đầu ra của trigger thay đổi phụ thuộc vào tín hiệu vào và tín hiệu đồng bộ
  • 30. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 30 Các kiểu đồng bộ  Đồng bộ theo mức:  Mức cao:  Khi tín hiệu đồng bộ có giá trị logic bằng 0 thì hệ nghỉ (giữ nguyên trạng thái)  Khi tín hiệu đồng bộ có giá trị logic bằng 1 thì hệ làm việc bình thường.  Mức thấp:  Khi tín hiệu đồng bộ có giá trị logic bằng 1 thì hệ nghỉ (giữ nguyên trạng thái)  Khi tín hiệu đồng bộ có giá trị logic bằng 0 thì hệ làm việc bình thường.
  • 31. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 31 Các kiểu đồng bộ (tiếp)  Đồng bộ theo sườn:  Sườn dương:  Khi tín hiệu đồng bộ xuất hiện sườn dương (sườn đi lên, từ 0 → 1) thì hệ làm việc bình thường  Trong các trường hợp còn lại, hệ nghỉ (giữ nguyên trạng thái).  Sườn âm:  Khi tín hiệu đồng bộ xuất hiện sườn âm (sườn đi xuống, từ 1 → 0), hệ làm việc bình thường  Trong các trường hợp còn lại, hệ nghỉ (giữ nguyên trạng thái).
  • 32. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 32 Các kiểu đồng bộ (tiếp)  Đồng bộ kiểu xung:  Khi có xung thì hệ làm việc bình thường  Khi không có xung thì hệ nghỉ (giữ nguyên trạng thái).
  • 33. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 33 Các loại Trigger  Có 4 loại Trigger:  RS Reset - Set Xóa - Thiết lập  D Delay Trễ  JK Jordan và Kelly Tên 2 nhà phát minh  T Toggle Bập bênh, bật tắt
  • 34. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 34 a. Trigger RS  Sơ đồ khối:  Trigger RS hoạt động được ở cả 2 chế độ đồng bộ và không đồng bộ
  • 35. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 35 Bảng chuyển trạng thái của RS
  • 36. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 36 Ví dụ  Cho Trigger RS đồng bộ mức cao và đồ thị các tín hiệu R, S như hình vẽ. Hãy vẽ đồ thị tín hiệu ra Q.
  • 37. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 37 Ví dụ (tiếp)
  • 38. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 38  Trigơ SR chốt mức cao:  Trigơ RS chốt mức thấp: R S Q Q' S R Q Q' 1 0 1 0 initial 0 0 1 0 (afer S=1, R=0) 0 1 0 1 0 0 0 1 (after S=0, R=1) 1 1 0 0 invalid! S' R' Q Q' 1 0 0 1 initial 1 1 0 1 (afer S'=1, R'=0) 0 1 1 0 1 1 1 0 (after S'=0, R'=1) 0 0 1 1 invalid! S' R' Q Q' 0 1 1 0 0 0 1 0 1 0 0 1 0 0 0 1 1 1 0 0 Ví dụ (tiếp)
  • 39. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 39 b. Trigger D  Trigger D có 1 đầu vào là D và hoạt động ở 2 chế độ đồng bộ và không đồng bộ.  Ta chỉ xét trigger D hoạt động ở chế độ đồng bộ.
  • 40. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 40 Trigger D đồng bộ  Trigger D đồng bộ theo mức gọi là chốt D (Latch)  Trigger D đồng bộ theo sườn được gọi là xuất phát sườn (Edge trigged)
  • 41. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 41 Bảng chuyển trạng thái của D
  • 42. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 42 Ví dụ 1  Cho chốt D kích hoạt mức cao. Hãy vẽ tín hiệu ra Q dóng trên cùng trục thời gian với tín hiệu vào D.
  • 43. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 43 Ví dụ 1 (tiếp)
  • 44. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 44 Ví dụ 2  Cho trigger D xuất phát sườn dương. Hãy vẽ tín hiệu ra Q dóng trên cùng trục thời gian với tín hiệu vào D.
  • 45. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 45 Ví dụ 2 (tiếp)
  • 46. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 46 c. Trigger JK  Trigger JK chỉ hoạt động ở chế độ đồng bộ  Sơ đồ khối:
  • 47. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 47 Bảng chuyển trạng thái của JK J ~ S K ~ R
  • 48. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 48 d. Trigger T  Trigger T chỉ hoạt động ở chế độ đồng bộ  Sơ đồ khối:
  • 49. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 49 Bảng chuyển trạng thái của T
  • 50. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 50 Nội dung chương 4 5.1. Khái niệm 5.2. Mô hình của hệ dãy 5.3. Các Trigger số 5.4. Một số ứng dụng của hệ dãy
  • 51. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 51 1. Bộ đếm và chia tần số  Bộ đếm được dùng để đếm xung  Bộ đếm được gọi là module n nếu nó có thể đếm được n xung: từ 0 đến n-1  Có 2 loại bộ đếm:  Bộ đếm không đồng bộ: không đồng thời đưa tín hiệu đếm vào các đầu vào của các trigger  Bộ đếm đồng bộ: có xung đếm đồng thời là xung đồng hồ clock đưa vào tất cả các trigger của bộ đếm
  • 52. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 52 Bộ đếm không đồng bộ module 16  Đếm từ 0 đến 15 và có 16 trạng thái  Mã hóa thành 4 bit A,B,C,D tương ứng với q4,q3,q2,q1  Cần dùng 4 trigger (giả sử dùng trigger JK) 1 1 1 1 1 1 1 1
  • 53. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 53 Bộ đếm không đồng bộ module 16  Bảng đếm xung:
  • 54. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 54  Biểu đồ thời gian:  NX: Bộ đếm này đồng thời cũng là bộ chia tần số Bộ đếm không đồng bộ module 16
  • 55. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 55  Có 10 trạng thái ⇒ cần dùng 4 Trigger  Giả sử dùng Trigger JK có đầu vào CLR (CLEAR: xóa) tích cực ở mức thấp  Nếu CLR = 0 thì q = 0  Cứ mỗi khi đếm đến xung thứ 10 thì tất cả các q bị xóa về 0  Sơ đồ: (các J=K=1) Bộ đếm không đồng bộ module 10
  • 56. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 56 Bộ đếm đồng bộ module 8  Có 8 trạng thái ⇒ cần dùng 3 Trigger  Giả sử dùng các Trigger JK  Bảng đếm xung:
  • 57. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 57 Bộ đếm đồng bộ module 8 (tiếp)
  • 58. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 58 Bộ đếm lùi không đồng bộ module 8  Giả sử dùng Trigger JK có đầu vào PR (PRESET: thiết lập trước) tích cực ở mức thấp  Nếu PR = 0 thì q = 1  Đầu tiên cho PR = 0 thì q1q2q3 = 111  Sau đó cho PR = 1, hệ hoạt động bình thường xung q3 q2 q1 0 1 2 3 4 5 6 7 8 1 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 Số đếm 7 6 5 4 3 2 1 0 7
  • 59. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 59 Bộ đếm lùi không đồng bộ module 8
  • 60. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 60 2. Thanh ghi  Thanh ghi có cấu tạo gồm các trigger nối với nhau  Chức năng:  Để lưu trữ tạm thời thông tin  Dịch chuyển thông tin  Lưu ý: cả thanh ghi và bộ nhớ đều dùng để lưu trữ thông tin, nhưng thanh ghi có chức năng dịch chuyển thông tin. Do đó, thanh ghi có thể sử dụng làm bộ nhớ, nhưng bộ nhớ không thể làm được thanh ghi.
  • 61. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 61 Phân loại  Vào nối tiếp ra nối tiếp  Vào nối tiếp ra song song  Vào song song ra nối tiếp  Vào song song ra song song 0 1 0 1 0 0 11 0 1 0 1 0 0 11 0 1 0 1 0 0 11 0 1 0 1 0 0 11
  • 62. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 62 Ví dụ  Thanh ghi 4 bit vào nối tiếp ra song song dùng Trigger D
  • 63. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 63 Ví dụ (tiếp)  Bảng số liệu khảo sát:
  • 64. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 64 Tổng kết 1- Tính chất cơ bản của Trigơ:  Trigơ có hai trạng thái ổn định, dưới tác dụng của tín hiệu bên ngoài có thể chuyển đổi từ trạng thái ổn định này sang trạng thái ổn định kia, nếu không có tác dụng tín hiệu bên ngoài thì nó duy trì mãi trạng thái ổn định vốn có.  Trigơ có thể được dùng làm phần tử nhớ của số nhị phân. Mạch logic tuần tự có tín hiệu đầu ra phụ thuộc không những tín hiệu đầu vào ở thời điểm xét mà cả vào trạng thái mạch điện sẵn có ở thời điểm đó. Để nhớ trạng thái mạch điện, mạch tuần tự phải có phần tử nhớ - đó là các trigơ.
  • 65. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 65 2-Quan hệ giữa chức năng logic và hình thức cấu trúc của trigơ  Chức năng logic là quan hệ giữa trạng thái tiếp theo của đầu ra với trạng thái hiện tại của đầu ra và các tín hiệu đầu vào.  Do chức năng logic khác nhau mà trigơ được phân thành các loại RS, D, T, JK. Còn do hình thức cấu trúc khác nhau mà trigơ lại được phân thành loại trigơ thường và loại trigơ chính phụ.  Một trigơ có chức năng logic xác định có thể thực hiện bằng các hình thức cấu trúc khác nhau.  Ví dụ: các trigơ cấu trúc loại chính phụ và loại thường đều có thể thực hiện chức năng của một trigơ khác. Nghĩa là cùng một cấu trúc có thể đảm trách những chức năng khác nhau. Tổng kết (1)
  • 66. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 66 3-Mạch tuần tự cụ thể có rất nhiều chủng loại  Chương này chỉ giới thiệu một số loại mạch tuần tự điển hình: bộ đếm, bộ ghi dịch…  Đồng thời với việc nắm vững cấu trúc, nguyên lý công tác và đặc điểm của các mạch tuần tự đó, chúng ta cũng phải nắm vững được đặc điểm chung của mạch tuần tự và phương pháp chung khi phân tích và thiết kế mạch tuần tự. Tổng kết(2)
  • 67. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 67 Bài tập 1. Thiết kế bộ đếm đồng bộ dùng Trigơ RS có dãy đếm sau: 000, 010, 101, 110 và lặp lại. 2. Thiết kế bộ đếm không đồng bộ dùng Trigơ D với dãy đếm sau: 000, 001, 011, 010, 110,111, 101, 100, 000 . . . 3. Thiết kế một mạch đếm đồng bộ dùng Trigơ JK tác động cạnh xuống, có dãy đếm như sau: 000, 001, 011, 111, 110, 100, 001. . . Những trạng thái không sử dụng được đưa về trạng thái 000 ở xung đồng hồ kế tiếp. Vẽ sơ đồ mạch. 4. Câu hỏi trắc nghiệm:
  • 68. GV: Nguyen Van Thanh Bài giảng Kỹ thuật số _ Chương 4 68 Hết chương 4