SlideShare a Scribd company logo
PROPOSAL PROYEK AKHIR
SIMULASI PENGATURAN KECEPATAN MOTOR POMPA
OTOMATIS PADA CIRCUIT CONTROL CURRENT
DECANTATION (CCD) PADA PENGOLAHAN EMAS
Diusulkan Oleh :
Chardian Arguta NIRM ( 1051506 )
Sulastri Rubiyanti NIRM (1051518)
POLITEKNIK MANUFAKTUR NEGERI
BANGKA BELITUNG
2016
LEMBAR PERSETUJUAN PROPOSAL
SIMULASI PENGATURAN KECEPATAN MOTOR POMPA
OTOMATIS PADA CIRCUIT CONTROL CURRENT
DECANTATION (CCD) PADA PENGOLAHAN EMAS
Diusulkan Oleh :
Chardian Arguta NIRM ( 1051506 )
Sulastri Rubiyanti NIRM (1051518)
PROPOSAL INI TELAH DIKONSULTASIKAN
DENGAN CALON DOSEN PEMBIMBING DAN SIAP UNTUK DISEMINARKAN
USULAN PEMBIMBING 1 : Aan Febriansyah, M.T
USULAN PEMBIMBING 2 :
USULAN PEMBIMBING 3 :
1. Latar Belakang
Perkembangan industri pertambangan berkembang sangat dinamis. Salah satu
indikatornya adalah proses pengolahan mineral yang efektif dan efisien. Ilmu
elektronika diantara salah satunya telah mengambil peran penting dalam perkembangan
otomatisasi industri ini.
Pada pabrik pengolahan emas terdapat beberapa sirkuit dimulai dari sirkuit
penghancur (crusher), sirkuit penggilingan (milling), sirkuit pelindian (leach), sirkuit
pengentalan (counter current decantation thickener), sirkuit pemisahan emas & cyanide
(merrill crowe), dan sirkuit pengolahan limbah (detoksifikasi). Dari keseluruhan bagian
tersebut terangkai menjadi pabrik pengolahan (process plant).
Pada tugas akhir ini akan membahas bagian pengentalan (CCD Thickener)
dengan membuat pengontrolan kecepatan motor pompa transfer lumpur dari tanki 1
hingga ke tanki 6. Endapan lumpur pada tanki harus dijaga levelnya pada kisaran 30% ~
40% (± 150 ~ 180 cm) dari dasar tanki. Jika ketinggian level lumpur > 30% ~ 40%
maka kecepatan pompa harus dinaikkan dan sebaliknya. Hal ini dilakukan untuk
menjaga perolehan (recovery) emas dan perak dari dalam lumpur yang diendapkan.
1.1 Rumusan Masalah
Rumusan masalah yang akan dibahas pada penelitian ini adalah bagaimana
merancang sistem kontrol kecepatan motor pompa secara otomatis berdasarkan
kenaikan dan penurunan bed level.
1.2 Batasan Masalah
Batasan masalah pada penelitian ini difokuskan pada beberapa hal, diantaranya
sebagai berikut :
1. Sistem yang digunakan meliputi Programmable Logic Controller
2. Variable Speed Drive/Inverter sebagai pengatur kecepatan motor pompa
3. Bed Level Sensor sebagai input signal analog ke VSD
2. Tujuan
Tujuan pada penelitian ini adalah sebagai berikut :
1. Mengatur kecepatan motor pompa secara otomatis agar endapan lumpur steady
pada level 30%~40%
2. Meminimalisir beban kerja operator untuk mengatur kecepatan motor pompa
3. Meminimalisir kesalahan yang mungkin akan dilakukan operator karena
melakukan pengamatan level secara manual
4. Memaksimalkan sistem CCD circuit sehingga berdampak lebih baik untuk
proses perolehan emas
3. Rancangan Alat / Produk
Pada penelitian ini, tahapan-tahapan yang dilakukan adalah sebagai berikut :
Gambar 1. Flowchart Metode Penelitian
Adapun untuk blok diagram hardware penelitian ini adalah sebagai berikut :
Gambar 2. Blok Diagram Perancangan Sistem
Perancangan Hardware dan Software
Pengumpulan dan Pengolahan Data
Mulai
Pembuatan Hardware dan Software
Apakah hasil kerja
robot sesuai dengan
yang dinginkan ?
Ya
Tidak
Penarikan Kesimpulan
Selesai
Berikut gambar rangkaian tanki CCD:
Gambar 3. CCD Circuit

More Related Content

What's hot

Benua maritim indonesia.ppt
Benua maritim indonesia.pptBenua maritim indonesia.ppt
Benua maritim indonesia.pptAzh'rulk Amard
 
Bioekologi dan strategi konservasi troides helena
Bioekologi dan strategi konservasi troides helenaBioekologi dan strategi konservasi troides helena
Bioekologi dan strategi konservasi troides helena
Afifi Rahmadetiassani
 
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
Universitas Qomaruddin, Gresik, Indonesia
 
Contoh perencanaan produk
Contoh perencanaan produkContoh perencanaan produk
Contoh perencanaan produk
TugimanBuchori
 
Anatomi dan fisiologi ikan nila hitam
Anatomi dan fisiologi ikan nila hitamAnatomi dan fisiologi ikan nila hitam
Anatomi dan fisiologi ikan nila hitam
Aceh Engineering State
 
Metoda pengumpulan dan analisis data (biologi air)
Metoda pengumpulan dan analisis data (biologi air)Metoda pengumpulan dan analisis data (biologi air)
Metoda pengumpulan dan analisis data (biologi air)
Anjas Asmara, S.Si
 
Rencana kerja dan pemeliharaan peralatan
Rencana kerja dan pemeliharaan peralatan Rencana kerja dan pemeliharaan peralatan
Rencana kerja dan pemeliharaan peralatan
hero1964
 
Ppt geo kearifan 2
Ppt geo kearifan 2Ppt geo kearifan 2
Ppt geo kearifan 2
iin nafisa
 
Perkecambahan lamtoro
Perkecambahan lamtoroPerkecambahan lamtoro
Perkecambahan lamtoro
Dita Widiyanti
 
materi 7: konservasi sumberdaya alam
materi 7: konservasi sumberdaya alammateri 7: konservasi sumberdaya alam
materi 7: konservasi sumberdaya alam
Yuningsih Yuningsih
 
Bab 1 Pengantar Ilmu Pertanian
Bab 1 Pengantar Ilmu PertanianBab 1 Pengantar Ilmu Pertanian
Bab 1 Pengantar Ilmu Pertanian
Darwin H. Pangaribuan, Ph.D
 
Gmp
GmpGmp
Berpikir Sistem=Paparan Dinamika Sistem V0
Berpikir Sistem=Paparan Dinamika Sistem V0Berpikir Sistem=Paparan Dinamika Sistem V0
Berpikir Sistem=Paparan Dinamika Sistem V0
Randy Wrihatnolo
 
Laporan Kerja Praktek PT Inti Ganda Perdana
Laporan Kerja Praktek PT Inti Ganda PerdanaLaporan Kerja Praktek PT Inti Ganda Perdana
Laporan Kerja Praktek PT Inti Ganda Perdana
Eko Priyanto
 
K3
K3 K3
Menyusun materi penyuluhan
Menyusun materi penyuluhanMenyusun materi penyuluhan
Menyusun materi penyuluhan
wika_wibowo
 

What's hot (20)

Benua maritim indonesia.ppt
Benua maritim indonesia.pptBenua maritim indonesia.ppt
Benua maritim indonesia.ppt
 
Bioekologi dan strategi konservasi troides helena
Bioekologi dan strategi konservasi troides helenaBioekologi dan strategi konservasi troides helena
Bioekologi dan strategi konservasi troides helena
 
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
2. PERANCANGAN SISTEM KERJA & ERGONOMI - STUDI GERAKAN &PRINSIP EKONOMI GERAKAN
 
Contoh perencanaan produk
Contoh perencanaan produkContoh perencanaan produk
Contoh perencanaan produk
 
Anatomi dan fisiologi ikan nila hitam
Anatomi dan fisiologi ikan nila hitamAnatomi dan fisiologi ikan nila hitam
Anatomi dan fisiologi ikan nila hitam
 
Metoda pengumpulan dan analisis data (biologi air)
Metoda pengumpulan dan analisis data (biologi air)Metoda pengumpulan dan analisis data (biologi air)
Metoda pengumpulan dan analisis data (biologi air)
 
Rencana kerja dan pemeliharaan peralatan
Rencana kerja dan pemeliharaan peralatan Rencana kerja dan pemeliharaan peralatan
Rencana kerja dan pemeliharaan peralatan
 
Getaran
GetaranGetaran
Getaran
 
Ppt geo kearifan 2
Ppt geo kearifan 2Ppt geo kearifan 2
Ppt geo kearifan 2
 
Proposal penelitian
Proposal penelitianProposal penelitian
Proposal penelitian
 
Perkecambahan lamtoro
Perkecambahan lamtoroPerkecambahan lamtoro
Perkecambahan lamtoro
 
materi 7: konservasi sumberdaya alam
materi 7: konservasi sumberdaya alammateri 7: konservasi sumberdaya alam
materi 7: konservasi sumberdaya alam
 
Bab 1 Pengantar Ilmu Pertanian
Bab 1 Pengantar Ilmu PertanianBab 1 Pengantar Ilmu Pertanian
Bab 1 Pengantar Ilmu Pertanian
 
Gmp
GmpGmp
Gmp
 
Berpikir Sistem=Paparan Dinamika Sistem V0
Berpikir Sistem=Paparan Dinamika Sistem V0Berpikir Sistem=Paparan Dinamika Sistem V0
Berpikir Sistem=Paparan Dinamika Sistem V0
 
Laporan Kerja Praktek PT Inti Ganda Perdana
Laporan Kerja Praktek PT Inti Ganda PerdanaLaporan Kerja Praktek PT Inti Ganda Perdana
Laporan Kerja Praktek PT Inti Ganda Perdana
 
organisasi maintenance
organisasi maintenanceorganisasi maintenance
organisasi maintenance
 
K3
K3 K3
K3
 
Proses produksi
Proses produksiProses produksi
Proses produksi
 
Menyusun materi penyuluhan
Menyusun materi penyuluhanMenyusun materi penyuluhan
Menyusun materi penyuluhan
 

Viewers also liked

18 proposal proyek
18 proposal proyek18 proposal proyek
18 proposal proyek
Ainul Yaqin
 
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flowSkd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
niel95
 
148026773 pertambangan-emas
148026773 pertambangan-emas148026773 pertambangan-emas
148026773 pertambangan-emas
Chaerani Rusli
 
Mesin pengolahan emas
Mesin pengolahan emasMesin pengolahan emas
Mesin pengolahan emas
Khairul Fadli
 
Kendali level air
Kendali level airKendali level air
Kendali level air
kemas muhammad rizal
 
Laporan akhir
Laporan akhirLaporan akhir
Laporan akhir
dmaylina
 
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
Fauzan Fauziansyah
 
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101D
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101DSistem Pengendalian Level Steam Drum di Boiler 52-B-101D
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101Dilham wahyudi
 
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
Gumilar Aditya
 
Project instrumentasi kelompok1
Project instrumentasi kelompok1Project instrumentasi kelompok1
Project instrumentasi kelompok1
yana cahyana
 
Sistem Irigasi Otomatis menggunakan Arduino Uno
Sistem Irigasi Otomatis menggunakan Arduino UnoSistem Irigasi Otomatis menggunakan Arduino Uno
Sistem Irigasi Otomatis menggunakan Arduino Uno
Rizki Verdian
 
PT. freepot indonesia
PT. freepot indonesiaPT. freepot indonesia
PT. freepot indonesia
Widdy azendri
 
Analisis Manaemen Strategi Antam persero Tbk.
Analisis Manaemen Strategi Antam persero Tbk.Analisis Manaemen Strategi Antam persero Tbk.
Analisis Manaemen Strategi Antam persero Tbk.
Nadya Syabilla Arviadea
 
Aplicaciondelanormacovenin
AplicaciondelanormacoveninAplicaciondelanormacovenin
Aplicaciondelanormacovenin
Luisberth Pereira
 
дії населення в разі виявлення запаху газу
дії населення в разі виявлення запаху газудії населення в разі виявлення запаху газу
дії населення в разі виявлення запаху газу
Poltava municipal lyceum #1
 
Planners-Guide---FINAL-Sept-2016-revisions
Planners-Guide---FINAL-Sept-2016-revisionsPlanners-Guide---FINAL-Sept-2016-revisions
Planners-Guide---FINAL-Sept-2016-revisions
Hilary Simmons
 
Eric Stewart Resume
Eric Stewart ResumeEric Stewart Resume
Eric Stewart Resume
Eric Stewart
 
Nicarauga Final Paper
Nicarauga Final PaperNicarauga Final Paper
Nicarauga Final Paper
Tezlynn Tillmon
 
Irreversibilidad en la termodinámica
Irreversibilidad en la termodinámicaIrreversibilidad en la termodinámica
Irreversibilidad en la termodinámica
Luisberth Pereira
 
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
andreacastro1996
 

Viewers also liked (20)

18 proposal proyek
18 proposal proyek18 proposal proyek
18 proposal proyek
 
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flowSkd 141311039 -laporan akhir sistem kendali digital pada plant flow
Skd 141311039 -laporan akhir sistem kendali digital pada plant flow
 
148026773 pertambangan-emas
148026773 pertambangan-emas148026773 pertambangan-emas
148026773 pertambangan-emas
 
Mesin pengolahan emas
Mesin pengolahan emasMesin pengolahan emas
Mesin pengolahan emas
 
Kendali level air
Kendali level airKendali level air
Kendali level air
 
Laporan akhir
Laporan akhirLaporan akhir
Laporan akhir
 
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
DESAIN KENDALI PID DENGAN METODA ZIEGLER-NICHOLS DAN COHEN-COON MENGGUNAKAN M...
 
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101D
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101DSistem Pengendalian Level Steam Drum di Boiler 52-B-101D
Sistem Pengendalian Level Steam Drum di Boiler 52-B-101D
 
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
Perancangan dan Realisasi Sistem Kendali Digital Pada Plant Temperatur dengan...
 
Project instrumentasi kelompok1
Project instrumentasi kelompok1Project instrumentasi kelompok1
Project instrumentasi kelompok1
 
Sistem Irigasi Otomatis menggunakan Arduino Uno
Sistem Irigasi Otomatis menggunakan Arduino UnoSistem Irigasi Otomatis menggunakan Arduino Uno
Sistem Irigasi Otomatis menggunakan Arduino Uno
 
PT. freepot indonesia
PT. freepot indonesiaPT. freepot indonesia
PT. freepot indonesia
 
Analisis Manaemen Strategi Antam persero Tbk.
Analisis Manaemen Strategi Antam persero Tbk.Analisis Manaemen Strategi Antam persero Tbk.
Analisis Manaemen Strategi Antam persero Tbk.
 
Aplicaciondelanormacovenin
AplicaciondelanormacoveninAplicaciondelanormacovenin
Aplicaciondelanormacovenin
 
дії населення в разі виявлення запаху газу
дії населення в разі виявлення запаху газудії населення в разі виявлення запаху газу
дії населення в разі виявлення запаху газу
 
Planners-Guide---FINAL-Sept-2016-revisions
Planners-Guide---FINAL-Sept-2016-revisionsPlanners-Guide---FINAL-Sept-2016-revisions
Planners-Guide---FINAL-Sept-2016-revisions
 
Eric Stewart Resume
Eric Stewart ResumeEric Stewart Resume
Eric Stewart Resume
 
Nicarauga Final Paper
Nicarauga Final PaperNicarauga Final Paper
Nicarauga Final Paper
 
Irreversibilidad en la termodinámica
Irreversibilidad en la termodinámicaIrreversibilidad en la termodinámica
Irreversibilidad en la termodinámica
 
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
UNIDAD EDUCATIVA KASAMA Nombre:Andrea Castro Curso:3ro B.G.U A Lic. : Stalin ...
 

Similar to Proposal Tugas Akhir-D4 Polman Negeri Bangka Belitung

163 546-1-pb
163 546-1-pb163 546-1-pb
163 546-1-pb
Benny Padly
 
Roche alimin p227-232
Roche alimin p227-232Roche alimin p227-232
Roche alimin p227-232
Darman Syah
 
Jurnal Tugas Akhir Teknik Elektro
Jurnal Tugas Akhir Teknik ElektroJurnal Tugas Akhir Teknik Elektro
Jurnal Tugas Akhir Teknik Elektro
Andrie A Hamali
 
10 naskah publikasi ersan budi kusuma
10 naskah publikasi ersan budi kusuma10 naskah publikasi ersan budi kusuma
10 naskah publikasi ersan budi kusuma
Aryanti99
 
042 7-32-p
042 7-32-p042 7-32-p
042 7-32-p
RajdMild
 
7.2.8.09.04 2.unlocked
7.2.8.09.04 2.unlocked7.2.8.09.04 2.unlocked
7.2.8.09.04 2.unlocked
Trie Handayani
 
Laporan teknis manajemen proyek robot untuk membersihkan sampah kolam bere...
Laporan teknis  manajemen proyek   robot untuk membersihkan sampah kolam bere...Laporan teknis  manajemen proyek   robot untuk membersihkan sampah kolam bere...
Laporan teknis manajemen proyek robot untuk membersihkan sampah kolam bere...
Abdurrochman Soewarno
 
Presentasi Makalah PLC Feed Ore System
Presentasi Makalah PLC Feed Ore SystemPresentasi Makalah PLC Feed Ore System
Presentasi Makalah PLC Feed Ore System
Chardian Arguta
 
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
PT PLN (Persero)
 
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino UnoRangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
RianaDS
 
Idea Proposal Presentasi
Idea Proposal PresentasiIdea Proposal Presentasi
Idea Proposal Presentasi
facebookone18
 
Idea Proposal Presentasi 1
Idea Proposal Presentasi 1Idea Proposal Presentasi 1
Idea Proposal Presentasi 1
facebookone18
 
Slip monitoring pada Conveyor Excavation
Slip monitoring pada Conveyor ExcavationSlip monitoring pada Conveyor Excavation
Slip monitoring pada Conveyor Excavation
Harumi Paramaiswari
 
Presentasi Tim Escalate
 Presentasi Tim Escalate Presentasi Tim Escalate
Presentasi Tim Escalate
Muhammad Burhan
 
857
857857
Helikopter 4 baling-baling (rancang bangun dan simulasi)
Helikopter 4 baling-baling (rancang bangun dan simulasi)Helikopter 4 baling-baling (rancang bangun dan simulasi)
Helikopter 4 baling-baling (rancang bangun dan simulasi)
Muhammad Lukmana
 
J4012 pneumatik dan hidraulik unit9
J4012 pneumatik dan hidraulik unit9J4012 pneumatik dan hidraulik unit9
J4012 pneumatik dan hidraulik unit9
Asraf Malik
 
1289 p1-spk-teknik kendaraan ringan (1)
1289 p1-spk-teknik kendaraan ringan (1)1289 p1-spk-teknik kendaraan ringan (1)
1289 p1-spk-teknik kendaraan ringan (1)Winarto Winartoap
 
1289 p1-spk-teknik kendaraan ringan
1289 p1-spk-teknik kendaraan ringan1289 p1-spk-teknik kendaraan ringan
1289 p1-spk-teknik kendaraan ringanWinarto Winartoap
 
PROMAKER Idea Generation.pptx
PROMAKER Idea Generation.pptxPROMAKER Idea Generation.pptx
PROMAKER Idea Generation.pptx
IndriyantoPeri
 

Similar to Proposal Tugas Akhir-D4 Polman Negeri Bangka Belitung (20)

163 546-1-pb
163 546-1-pb163 546-1-pb
163 546-1-pb
 
Roche alimin p227-232
Roche alimin p227-232Roche alimin p227-232
Roche alimin p227-232
 
Jurnal Tugas Akhir Teknik Elektro
Jurnal Tugas Akhir Teknik ElektroJurnal Tugas Akhir Teknik Elektro
Jurnal Tugas Akhir Teknik Elektro
 
10 naskah publikasi ersan budi kusuma
10 naskah publikasi ersan budi kusuma10 naskah publikasi ersan budi kusuma
10 naskah publikasi ersan budi kusuma
 
042 7-32-p
042 7-32-p042 7-32-p
042 7-32-p
 
7.2.8.09.04 2.unlocked
7.2.8.09.04 2.unlocked7.2.8.09.04 2.unlocked
7.2.8.09.04 2.unlocked
 
Laporan teknis manajemen proyek robot untuk membersihkan sampah kolam bere...
Laporan teknis  manajemen proyek   robot untuk membersihkan sampah kolam bere...Laporan teknis  manajemen proyek   robot untuk membersihkan sampah kolam bere...
Laporan teknis manajemen proyek robot untuk membersihkan sampah kolam bere...
 
Presentasi Makalah PLC Feed Ore System
Presentasi Makalah PLC Feed Ore SystemPresentasi Makalah PLC Feed Ore System
Presentasi Makalah PLC Feed Ore System
 
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
Perancangan dan Implementasi Kontroler Linear Quadratic Regulator (LQR) pada ...
 
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino UnoRangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
Rangkaian Pengatur Kecepatan dan Arah Putaran Motor DC Berbasis Adruino Uno
 
Idea Proposal Presentasi
Idea Proposal PresentasiIdea Proposal Presentasi
Idea Proposal Presentasi
 
Idea Proposal Presentasi 1
Idea Proposal Presentasi 1Idea Proposal Presentasi 1
Idea Proposal Presentasi 1
 
Slip monitoring pada Conveyor Excavation
Slip monitoring pada Conveyor ExcavationSlip monitoring pada Conveyor Excavation
Slip monitoring pada Conveyor Excavation
 
Presentasi Tim Escalate
 Presentasi Tim Escalate Presentasi Tim Escalate
Presentasi Tim Escalate
 
857
857857
857
 
Helikopter 4 baling-baling (rancang bangun dan simulasi)
Helikopter 4 baling-baling (rancang bangun dan simulasi)Helikopter 4 baling-baling (rancang bangun dan simulasi)
Helikopter 4 baling-baling (rancang bangun dan simulasi)
 
J4012 pneumatik dan hidraulik unit9
J4012 pneumatik dan hidraulik unit9J4012 pneumatik dan hidraulik unit9
J4012 pneumatik dan hidraulik unit9
 
1289 p1-spk-teknik kendaraan ringan (1)
1289 p1-spk-teknik kendaraan ringan (1)1289 p1-spk-teknik kendaraan ringan (1)
1289 p1-spk-teknik kendaraan ringan (1)
 
1289 p1-spk-teknik kendaraan ringan
1289 p1-spk-teknik kendaraan ringan1289 p1-spk-teknik kendaraan ringan
1289 p1-spk-teknik kendaraan ringan
 
PROMAKER Idea Generation.pptx
PROMAKER Idea Generation.pptxPROMAKER Idea Generation.pptx
PROMAKER Idea Generation.pptx
 

More from Chardian Arguta

Bisnis Milenial-Sharing Usaha Homestay
Bisnis Milenial-Sharing Usaha HomestayBisnis Milenial-Sharing Usaha Homestay
Bisnis Milenial-Sharing Usaha Homestay
Chardian Arguta
 
Pengalaman Migrasi Tarif Listrik R1 ke B1
Pengalaman Migrasi Tarif Listrik R1 ke B1Pengalaman Migrasi Tarif Listrik R1 ke B1
Pengalaman Migrasi Tarif Listrik R1 ke B1
Chardian Arguta
 
Pengalaman membuat TDUP Rumah Charsy Homestay
Pengalaman membuat TDUP Rumah Charsy HomestayPengalaman membuat TDUP Rumah Charsy Homestay
Pengalaman membuat TDUP Rumah Charsy Homestay
Chardian Arguta
 
Kontrol Kecepatan Motor DC Dengan PID
Kontrol Kecepatan Motor DC Dengan PID Kontrol Kecepatan Motor DC Dengan PID
Kontrol Kecepatan Motor DC Dengan PID
Chardian Arguta
 
Perencanaan Kapasitas Perusahaan Manufaktur & Jasa
Perencanaan Kapasitas Perusahaan Manufaktur & JasaPerencanaan Kapasitas Perusahaan Manufaktur & Jasa
Perencanaan Kapasitas Perusahaan Manufaktur & Jasa
Chardian Arguta
 
Keputusan Strategi Dalam Operasi
Keputusan Strategi Dalam OperasiKeputusan Strategi Dalam Operasi
Keputusan Strategi Dalam Operasi
Chardian Arguta
 
Management Operasi
Management OperasiManagement Operasi
Management Operasi
Chardian Arguta
 
K3 Kelistrikan Industri
K3 Kelistrikan IndustriK3 Kelistrikan Industri
K3 Kelistrikan Industri
Chardian Arguta
 
Micro Controller ATMEGA 8535
Micro Controller ATMEGA 8535Micro Controller ATMEGA 8535
Micro Controller ATMEGA 8535
Chardian Arguta
 
Buck Boost Converter
Buck Boost ConverterBuck Boost Converter
Buck Boost Converter
Chardian Arguta
 
Dasar Sistem Pengaturan-Matlab
Dasar Sistem Pengaturan-MatlabDasar Sistem Pengaturan-Matlab
Dasar Sistem Pengaturan-Matlab
Chardian Arguta
 
Running Text on LCD ATMEGA 8535
Running Text on LCD ATMEGA 8535Running Text on LCD ATMEGA 8535
Running Text on LCD ATMEGA 8535
Chardian Arguta
 
Proposal Rumah Charsy Homestay
Proposal Rumah Charsy HomestayProposal Rumah Charsy Homestay
Proposal Rumah Charsy Homestay
Chardian Arguta
 

More from Chardian Arguta (13)

Bisnis Milenial-Sharing Usaha Homestay
Bisnis Milenial-Sharing Usaha HomestayBisnis Milenial-Sharing Usaha Homestay
Bisnis Milenial-Sharing Usaha Homestay
 
Pengalaman Migrasi Tarif Listrik R1 ke B1
Pengalaman Migrasi Tarif Listrik R1 ke B1Pengalaman Migrasi Tarif Listrik R1 ke B1
Pengalaman Migrasi Tarif Listrik R1 ke B1
 
Pengalaman membuat TDUP Rumah Charsy Homestay
Pengalaman membuat TDUP Rumah Charsy HomestayPengalaman membuat TDUP Rumah Charsy Homestay
Pengalaman membuat TDUP Rumah Charsy Homestay
 
Kontrol Kecepatan Motor DC Dengan PID
Kontrol Kecepatan Motor DC Dengan PID Kontrol Kecepatan Motor DC Dengan PID
Kontrol Kecepatan Motor DC Dengan PID
 
Perencanaan Kapasitas Perusahaan Manufaktur & Jasa
Perencanaan Kapasitas Perusahaan Manufaktur & JasaPerencanaan Kapasitas Perusahaan Manufaktur & Jasa
Perencanaan Kapasitas Perusahaan Manufaktur & Jasa
 
Keputusan Strategi Dalam Operasi
Keputusan Strategi Dalam OperasiKeputusan Strategi Dalam Operasi
Keputusan Strategi Dalam Operasi
 
Management Operasi
Management OperasiManagement Operasi
Management Operasi
 
K3 Kelistrikan Industri
K3 Kelistrikan IndustriK3 Kelistrikan Industri
K3 Kelistrikan Industri
 
Micro Controller ATMEGA 8535
Micro Controller ATMEGA 8535Micro Controller ATMEGA 8535
Micro Controller ATMEGA 8535
 
Buck Boost Converter
Buck Boost ConverterBuck Boost Converter
Buck Boost Converter
 
Dasar Sistem Pengaturan-Matlab
Dasar Sistem Pengaturan-MatlabDasar Sistem Pengaturan-Matlab
Dasar Sistem Pengaturan-Matlab
 
Running Text on LCD ATMEGA 8535
Running Text on LCD ATMEGA 8535Running Text on LCD ATMEGA 8535
Running Text on LCD ATMEGA 8535
 
Proposal Rumah Charsy Homestay
Proposal Rumah Charsy HomestayProposal Rumah Charsy Homestay
Proposal Rumah Charsy Homestay
 

Recently uploaded

KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdfKONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
SriWahyuni58535
 
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptxTEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
RianVirvianHidayatRP1
 
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
SABDA
 
juknis_2024_new pendaftaran ppdb kota kediri
juknis_2024_new pendaftaran ppdb kota kedirijuknis_2024_new pendaftaran ppdb kota kediri
juknis_2024_new pendaftaran ppdb kota kediri
DaraAOi
 
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdfFree Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
Roni Setiawan
 
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
Kanaidi ken
 
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdfSRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
SriWahyuni58535
 
SERTIFIKAT NINA Peserta coaching fff.doc
SERTIFIKAT NINA Peserta coaching fff.docSERTIFIKAT NINA Peserta coaching fff.doc
SERTIFIKAT NINA Peserta coaching fff.doc
sdnbaktimulyagunungh
 
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docxUNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
nengenok23
 
Modul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
Modul Ajar PJOK Kelas 1 Fase A Kurikulum MerdekaModul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
Modul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
Fathan Emran
 
Integrasi Isu Prioritas dalam Capaian Pembelajaran
Integrasi Isu Prioritas dalam Capaian PembelajaranIntegrasi Isu Prioritas dalam Capaian Pembelajaran
Integrasi Isu Prioritas dalam Capaian Pembelajaran
walidumar
 
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Fathan Emran
 
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdekaModul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
Fathan Emran
 
Materi MATSAMA Pengenalan Kurikulum.pptx
Materi MATSAMA  Pengenalan Kurikulum.pptxMateri MATSAMA  Pengenalan Kurikulum.pptx
Materi MATSAMA Pengenalan Kurikulum.pptx
ssuseraf5f2e
 
Pengenalan Morfologi & Tata Bahasa Indonesia
Pengenalan Morfologi & Tata Bahasa IndonesiaPengenalan Morfologi & Tata Bahasa Indonesia
Pengenalan Morfologi & Tata Bahasa Indonesia
sucibrooks86
 
Tugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
Tugas Ruang Kolaborasi Modul 1.1 Guru PenggerakTugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
Tugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
sarirahmi390
 
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptxBahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
PradnyaPadma
 
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
Fathan Emran
 
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
Kanaidi ken
 
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdfJURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
SriWahyuni58535
 

Recently uploaded (20)

KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdfKONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
KONEKSI ANTAR MATERI MODUL 2.1 SRI WAHYUNI.pdf
 
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptxTEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
TEKNIS VERIFIKASI FAKTUAL CALON PERSEORANGAN.pptx
 
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
SABDA MLC - Kelas Bedah Kitab Wahyu (BKW)
 
juknis_2024_new pendaftaran ppdb kota kediri
juknis_2024_new pendaftaran ppdb kota kedirijuknis_2024_new pendaftaran ppdb kota kediri
juknis_2024_new pendaftaran ppdb kota kediri
 
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdfFree Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
Free Handout 200 Soal UKMPPAI Ed. Giveaway XV.pdf
 
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
PELAKSANAAN + Link2 Materi BimTek _(Ketentuan TERBARU) "PTK 007 Rev-5 Tahun 2...
 
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdfSRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
SRI WAHYUNI KONEKSI MATERI MODUL 1.4.pdf
 
SERTIFIKAT NINA Peserta coaching fff.doc
SERTIFIKAT NINA Peserta coaching fff.docSERTIFIKAT NINA Peserta coaching fff.doc
SERTIFIKAT NINA Peserta coaching fff.doc
 
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docxUNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
UNIT 3 PB 2 MODUL AJAR PPKn KELAS 5 - modulguruku.com.docx
 
Modul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
Modul Ajar PJOK Kelas 1 Fase A Kurikulum MerdekaModul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
Modul Ajar PJOK Kelas 1 Fase A Kurikulum Merdeka
 
Integrasi Isu Prioritas dalam Capaian Pembelajaran
Integrasi Isu Prioritas dalam Capaian PembelajaranIntegrasi Isu Prioritas dalam Capaian Pembelajaran
Integrasi Isu Prioritas dalam Capaian Pembelajaran
 
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Fisika Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
 
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdekaModul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
Modul Ajar PAI dan Budi Pekerti Kelas 4 Fase B Kurikulum merdeka
 
Materi MATSAMA Pengenalan Kurikulum.pptx
Materi MATSAMA  Pengenalan Kurikulum.pptxMateri MATSAMA  Pengenalan Kurikulum.pptx
Materi MATSAMA Pengenalan Kurikulum.pptx
 
Pengenalan Morfologi & Tata Bahasa Indonesia
Pengenalan Morfologi & Tata Bahasa IndonesiaPengenalan Morfologi & Tata Bahasa Indonesia
Pengenalan Morfologi & Tata Bahasa Indonesia
 
Tugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
Tugas Ruang Kolaborasi Modul 1.1 Guru PenggerakTugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
Tugas Ruang Kolaborasi Modul 1.1 Guru Penggerak
 
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptxBahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
Bahan diskusi. PT PPDB SMP SLEMAN 2024 (1).pptx
 
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
Modul Ajar Informatika Kelas 10 Fase E Kurikulum Merdeka [abdiera.com]
 
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
RENCANA + Link2 Materi BimTek _"Ketentuan TERBARU_PTK 007 Rev-5 Tahun 2023 & ...
 
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdfJURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
JURNAL REFLEKSI DWI MINGGUAN 5 SRI WAHYUNI.pdf
 

Proposal Tugas Akhir-D4 Polman Negeri Bangka Belitung

  • 1. PROPOSAL PROYEK AKHIR SIMULASI PENGATURAN KECEPATAN MOTOR POMPA OTOMATIS PADA CIRCUIT CONTROL CURRENT DECANTATION (CCD) PADA PENGOLAHAN EMAS Diusulkan Oleh : Chardian Arguta NIRM ( 1051506 ) Sulastri Rubiyanti NIRM (1051518) POLITEKNIK MANUFAKTUR NEGERI BANGKA BELITUNG 2016
  • 2. LEMBAR PERSETUJUAN PROPOSAL SIMULASI PENGATURAN KECEPATAN MOTOR POMPA OTOMATIS PADA CIRCUIT CONTROL CURRENT DECANTATION (CCD) PADA PENGOLAHAN EMAS Diusulkan Oleh : Chardian Arguta NIRM ( 1051506 ) Sulastri Rubiyanti NIRM (1051518) PROPOSAL INI TELAH DIKONSULTASIKAN DENGAN CALON DOSEN PEMBIMBING DAN SIAP UNTUK DISEMINARKAN USULAN PEMBIMBING 1 : Aan Febriansyah, M.T USULAN PEMBIMBING 2 : USULAN PEMBIMBING 3 :
  • 3. 1. Latar Belakang Perkembangan industri pertambangan berkembang sangat dinamis. Salah satu indikatornya adalah proses pengolahan mineral yang efektif dan efisien. Ilmu elektronika diantara salah satunya telah mengambil peran penting dalam perkembangan otomatisasi industri ini. Pada pabrik pengolahan emas terdapat beberapa sirkuit dimulai dari sirkuit penghancur (crusher), sirkuit penggilingan (milling), sirkuit pelindian (leach), sirkuit pengentalan (counter current decantation thickener), sirkuit pemisahan emas & cyanide (merrill crowe), dan sirkuit pengolahan limbah (detoksifikasi). Dari keseluruhan bagian tersebut terangkai menjadi pabrik pengolahan (process plant). Pada tugas akhir ini akan membahas bagian pengentalan (CCD Thickener) dengan membuat pengontrolan kecepatan motor pompa transfer lumpur dari tanki 1 hingga ke tanki 6. Endapan lumpur pada tanki harus dijaga levelnya pada kisaran 30% ~ 40% (± 150 ~ 180 cm) dari dasar tanki. Jika ketinggian level lumpur > 30% ~ 40% maka kecepatan pompa harus dinaikkan dan sebaliknya. Hal ini dilakukan untuk menjaga perolehan (recovery) emas dan perak dari dalam lumpur yang diendapkan. 1.1 Rumusan Masalah Rumusan masalah yang akan dibahas pada penelitian ini adalah bagaimana merancang sistem kontrol kecepatan motor pompa secara otomatis berdasarkan kenaikan dan penurunan bed level. 1.2 Batasan Masalah Batasan masalah pada penelitian ini difokuskan pada beberapa hal, diantaranya sebagai berikut : 1. Sistem yang digunakan meliputi Programmable Logic Controller 2. Variable Speed Drive/Inverter sebagai pengatur kecepatan motor pompa 3. Bed Level Sensor sebagai input signal analog ke VSD
  • 4. 2. Tujuan Tujuan pada penelitian ini adalah sebagai berikut : 1. Mengatur kecepatan motor pompa secara otomatis agar endapan lumpur steady pada level 30%~40% 2. Meminimalisir beban kerja operator untuk mengatur kecepatan motor pompa 3. Meminimalisir kesalahan yang mungkin akan dilakukan operator karena melakukan pengamatan level secara manual 4. Memaksimalkan sistem CCD circuit sehingga berdampak lebih baik untuk proses perolehan emas 3. Rancangan Alat / Produk Pada penelitian ini, tahapan-tahapan yang dilakukan adalah sebagai berikut : Gambar 1. Flowchart Metode Penelitian Adapun untuk blok diagram hardware penelitian ini adalah sebagai berikut : Gambar 2. Blok Diagram Perancangan Sistem Perancangan Hardware dan Software Pengumpulan dan Pengolahan Data Mulai Pembuatan Hardware dan Software Apakah hasil kerja robot sesuai dengan yang dinginkan ? Ya Tidak Penarikan Kesimpulan Selesai
  • 5. Berikut gambar rangkaian tanki CCD: Gambar 3. CCD Circuit