SlideShare a Scribd company logo
National Taiwan UniversityNational Taiwan University
A Parallel Test Pattern
Generation Algorithm to Meet
Multiple Quality Objectives
K.Y. Liao, IEEE Trans. Comput.-Aided Design
Intergr. Circuits Syst., Vol. 30, Issue 11
1
J.Y.  Chen,  2015/09/15
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
2
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
3
Outline  
• Introduction
– Background  knowledge  
– PODEM  Quick  Review  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
4
Introduction  -­ Background  Knowledge  
• Single  stuck-­at  fault  (SSF)  model  is  no  longer  
effective  enough  in  deep  sub-­micron  (DSM)  
circuits
• Several  quality  metrics  are  introduced  to  grade  
patterns
5
Introduction  -­ Background  Knowledge  
• Quality  metrics  
– N-­detect  
– Physical-­aware  N-­detect  (PAN)
– Gate  exhaustive  (GE)
– Bridging  coverage  Estimate  (BCE)
6
Introduction  -­ Background  Knowledge  
• To  achieve  high  quality  test  pattern  generation  (TPG),  
quality  objective  are  introduced  during  the  process  
• Additional  quality  objectives  may  cause  lots  of  
backtracks  during  TPG  
• Some  tries  to  grade  and  select  patterns  from  large-­N-­
detect  test  set  generated  by  traditional  TPG  tool  
• SWK  adopted  bit-­wise  parallel  strategy  to  realize  search-­
space  parallelism,  thus  get  more  chance  to  justify  
additional  quality  objectives    
7
Introduction  -­ PODEM  Quick  Review    
• Path-­sensitizing  ATPG  algorithm  
• After  fault  activation,  system  choose  a  gate  from  
D-­frontier  and  then  gradually  map  corresponding  
D-­drive  objective  to  a  PI/PPI  decision,  called  
backtrace
• After  each  decision  make,  run  implication to  
update  the  logic  value  of  circuit  
• Heuristics  such  as  X-­path  search are  adopted  
for  early  avoidance  of  backtrack  
8
Outline  
• Introduction
– Background  knowledge  
– PODEM  Quick  Review  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
9
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
10
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
11
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
– 7-­Valued  Logic  
– System  Flow  
• Experiment  Result  
• Conclusion  
12
SWK  -­ 7-­Valued  Logic  
13
SWK  -­ 7-­Valued  Logic  
14
SWK  -­ System  Flow  
15
SWK  -­ System  Flow  
16
SWK  -­ System  Flow  
17
SWK  -­ System  Flow  
18
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
– 7-­Valued  Logic  
– System  Flow  
• Experiment  Result  
• Conclusion  
19
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion
20
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion
21
Experiment  Result  
22
Experiment  Result  
23
Outline  
• Introduction  
• Split-­into-­W-­Clones(SWK)  
• Experiment  Result  
• Conclusion  
24
Conclusion  
• SWK  optimize  test  pattern  quality  during  TPG  
• Might  able  to  integrate  SWK  into  other  
parallelism  strategy  
• Word  size  are  predefined  and  less  flexible  
• Only  support  parallel  pattern  generation  target  
on  single  fault    
25

More Related Content

What's hot

Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
kumar gavanurmath
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
swethamg18
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
Dr. Shivananda Koteshwar
 
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systemsTMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
Iosif Itkin
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
Raghavendra Kamath
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
dennis gookyi
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_featuresNirav Desai
 
DO-178C OOT supplement: A user's perspective
DO-178C OOT supplement: A user's perspectiveDO-178C OOT supplement: A user's perspective
DO-178C OOT supplement: A user's perspective
AdaCore
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
Pushpa Yakkala
 
Trace-Checking CPS Properties: Bridging the Cyber-Physical Gap
Trace-Checking CPS Properties: Bridging the Cyber-Physical GapTrace-Checking CPS Properties: Bridging the Cyber-Physical Gap
Trace-Checking CPS Properties: Bridging the Cyber-Physical Gap
Lionel Briand
 
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuits
ijsrd.com
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
Azad Mishra
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomizationNirav Desai
 
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
ICSM 2011
 
Model-driven trace diagnostics for pattern-based temporal specifications
Model-driven trace diagnostics for pattern-based temporal specificationsModel-driven trace diagnostics for pattern-based temporal specifications
Model-driven trace diagnostics for pattern-based temporal specifications
Lionel Briand
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverageNirav Desai
 
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Benoit Combemale
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
Dr. Shivananda Koteshwar
 

What's hot (20)

Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systemsTMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
TMPA-2017: Evolutionary Algorithms in Test Generation for digital systems
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
 
DO-178C OOT supplement: A user's perspective
DO-178C OOT supplement: A user's perspectiveDO-178C OOT supplement: A user's perspective
DO-178C OOT supplement: A user's perspective
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Trace-Checking CPS Properties: Bridging the Cyber-Physical Gap
Trace-Checking CPS Properties: Bridging the Cyber-Physical GapTrace-Checking CPS Properties: Bridging the Cyber-Physical Gap
Trace-Checking CPS Properties: Bridging the Cyber-Physical Gap
 
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuits
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
Dynamic Analysis - SCOTCH: Improving Test-to-Code Traceability using Slicing ...
 
Model-driven trace diagnostics for pattern-based temporal specifications
Model-driven trace diagnostics for pattern-based temporal specificationsModel-driven trace diagnostics for pattern-based temporal specifications
Model-driven trace diagnostics for pattern-based temporal specifications
 
Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
Efficient and Advanced Omniscient Debugging for xDSMLs (SLE 2015)
 
system verilog
system verilogsystem verilog
system verilog
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 

Similar to Paper-review: A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality Objectives

Application of formal methods for system level verification of final
Application of formal methods for system level verification of finalApplication of formal methods for system level verification of final
Application of formal methods for system level verification of final
Vinita Palaniveloo
 
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
HostedbyConfluent
 
Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...
Carlos Natalino da Silva
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
BhavanaHN5
 
Finding Bugs Faster with Assertion Based Verification (ABV)
Finding Bugs Faster with Assertion Based Verification (ABV)Finding Bugs Faster with Assertion Based Verification (ABV)
Finding Bugs Faster with Assertion Based Verification (ABV)DVClub
 
Data quality evaluation & orbit identification from scatterometer
Data quality evaluation & orbit identification from scatterometerData quality evaluation & orbit identification from scatterometer
Data quality evaluation & orbit identification from scatterometer
Mudit Dholakia
 
Performance Test Driven Development with Oracle Coherence
Performance Test Driven Development with Oracle CoherencePerformance Test Driven Development with Oracle Coherence
Performance Test Driven Development with Oracle Coherence
aragozin
 
FEASIBLE-Benchmark-Framework-ISWC2015
FEASIBLE-Benchmark-Framework-ISWC2015FEASIBLE-Benchmark-Framework-ISWC2015
FEASIBLE-Benchmark-Framework-ISWC2015
Muhammad Saleem
 
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
Pavel Popa
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDVClub
 
A Novel Specification and Composition Language for Services
A Novel Specification and Composition Language for ServicesA Novel Specification and Composition Language for Services
A Novel Specification and Composition Language for Services
George Baryannis
 
ABC of developer test
ABC of developer testABC of developer test
ABC of developer test
Dr. Anish Cheriyan (PhD)
 
Final present
Final presentFinal present
Final present
彰寧 蔡
 
[Vu Van Nguyen] Test Estimation in Practice
[Vu Van Nguyen]  Test Estimation in Practice[Vu Van Nguyen]  Test Estimation in Practice
[Vu Van Nguyen] Test Estimation in Practice
Ho Chi Minh City Software Testing Club
 
Recent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
Recent and Robust Query Auto-Completion - WWW 2014 Conference PresentationRecent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
Recent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
stewhir
 
STV-20151019-ServiceFunctionaTestAutomation (2)
STV-20151019-ServiceFunctionaTestAutomation (2)STV-20151019-ServiceFunctionaTestAutomation (2)
STV-20151019-ServiceFunctionaTestAutomation (2)Libero Maesano
 
Continuous Validation at Scale
Continuous Validation at ScaleContinuous Validation at Scale
Continuous Validation at Scale
Mirantis
 
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
Yamagishi Laboratory, National Institute of Informatics, Japan
 
MCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
MCA Daemon: Hybrid Throughput Analysis Beyond Basic BlocksMCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
MCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
Min-Yih Hsu
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 

Similar to Paper-review: A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality Objectives (20)

Application of formal methods for system level verification of final
Application of formal methods for system level verification of finalApplication of formal methods for system level verification of final
Application of formal methods for system level verification of final
 
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
 
Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
 
Finding Bugs Faster with Assertion Based Verification (ABV)
Finding Bugs Faster with Assertion Based Verification (ABV)Finding Bugs Faster with Assertion Based Verification (ABV)
Finding Bugs Faster with Assertion Based Verification (ABV)
 
Data quality evaluation & orbit identification from scatterometer
Data quality evaluation & orbit identification from scatterometerData quality evaluation & orbit identification from scatterometer
Data quality evaluation & orbit identification from scatterometer
 
Performance Test Driven Development with Oracle Coherence
Performance Test Driven Development with Oracle CoherencePerformance Test Driven Development with Oracle Coherence
Performance Test Driven Development with Oracle Coherence
 
FEASIBLE-Benchmark-Framework-ISWC2015
FEASIBLE-Benchmark-Framework-ISWC2015FEASIBLE-Benchmark-Framework-ISWC2015
FEASIBLE-Benchmark-Framework-ISWC2015
 
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
Analysis and Implementation of Software-Defined Network (SDN) Techniques on C...
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
 
A Novel Specification and Composition Language for Services
A Novel Specification and Composition Language for ServicesA Novel Specification and Composition Language for Services
A Novel Specification and Composition Language for Services
 
ABC of developer test
ABC of developer testABC of developer test
ABC of developer test
 
Final present
Final presentFinal present
Final present
 
[Vu Van Nguyen] Test Estimation in Practice
[Vu Van Nguyen]  Test Estimation in Practice[Vu Van Nguyen]  Test Estimation in Practice
[Vu Van Nguyen] Test Estimation in Practice
 
Recent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
Recent and Robust Query Auto-Completion - WWW 2014 Conference PresentationRecent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
Recent and Robust Query Auto-Completion - WWW 2014 Conference Presentation
 
STV-20151019-ServiceFunctionaTestAutomation (2)
STV-20151019-ServiceFunctionaTestAutomation (2)STV-20151019-ServiceFunctionaTestAutomation (2)
STV-20151019-ServiceFunctionaTestAutomation (2)
 
Continuous Validation at Scale
Continuous Validation at ScaleContinuous Validation at Scale
Continuous Validation at Scale
 
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
Spoofing-aware Attention Back-end with Multiple Enrollment and Novel Trials S...
 
MCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
MCA Daemon: Hybrid Throughput Analysis Beyond Basic BlocksMCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
MCA Daemon: Hybrid Throughput Analysis Beyond Basic Blocks
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 

Recently uploaded

Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
SupreethSP4
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
R&R Consult
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 

Recently uploaded (20)

Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 

Paper-review: A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality Objectives

  • 1. National Taiwan UniversityNational Taiwan University A Parallel Test Pattern Generation Algorithm to Meet Multiple Quality Objectives K.Y. Liao, IEEE Trans. Comput.-Aided Design Intergr. Circuits Syst., Vol. 30, Issue 11 1 J.Y.  Chen,  2015/09/15
  • 2. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   2
  • 3. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   3
  • 4. Outline   • Introduction – Background  knowledge   – PODEM  Quick  Review   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   4
  • 5. Introduction  -­ Background  Knowledge   • Single  stuck-­at  fault  (SSF)  model  is  no  longer   effective  enough  in  deep  sub-­micron  (DSM)   circuits • Several  quality  metrics  are  introduced  to  grade   patterns 5
  • 6. Introduction  -­ Background  Knowledge   • Quality  metrics   – N-­detect   – Physical-­aware  N-­detect  (PAN) – Gate  exhaustive  (GE) – Bridging  coverage  Estimate  (BCE) 6
  • 7. Introduction  -­ Background  Knowledge   • To  achieve  high  quality  test  pattern  generation  (TPG),   quality  objective  are  introduced  during  the  process   • Additional  quality  objectives  may  cause  lots  of   backtracks  during  TPG   • Some  tries  to  grade  and  select  patterns  from  large-­N-­ detect  test  set  generated  by  traditional  TPG  tool   • SWK  adopted  bit-­wise  parallel  strategy  to  realize  search-­ space  parallelism,  thus  get  more  chance  to  justify   additional  quality  objectives     7
  • 8. Introduction  -­ PODEM  Quick  Review     • Path-­sensitizing  ATPG  algorithm   • After  fault  activation,  system  choose  a  gate  from   D-­frontier  and  then  gradually  map  corresponding   D-­drive  objective  to  a  PI/PPI  decision,  called   backtrace • After  each  decision  make,  run  implication to   update  the  logic  value  of  circuit   • Heuristics  such  as  X-­path  search are  adopted   for  early  avoidance  of  backtrack   8
  • 9. Outline   • Introduction – Background  knowledge   – PODEM  Quick  Review   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   9
  • 10. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   10
  • 11. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   11
  • 12. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   – 7-­Valued  Logic   – System  Flow   • Experiment  Result   • Conclusion   12
  • 13. SWK  -­ 7-­Valued  Logic   13
  • 14. SWK  -­ 7-­Valued  Logic   14
  • 15. SWK  -­ System  Flow   15
  • 16. SWK  -­ System  Flow   16
  • 17. SWK  -­ System  Flow   17
  • 18. SWK  -­ System  Flow   18
  • 19. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   – 7-­Valued  Logic   – System  Flow   • Experiment  Result   • Conclusion   19
  • 20. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion 20
  • 21. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion 21
  • 24. Outline   • Introduction   • Split-­into-­W-­Clones(SWK)   • Experiment  Result   • Conclusion   24
  • 25. Conclusion   • SWK  optimize  test  pattern  quality  during  TPG   • Might  able  to  integrate  SWK  into  other   parallelism  strategy   • Word  size  are  predefined  and  less  flexible   • Only  support  parallel  pattern  generation  target   on  single  fault     25