Leveraging Cross-Operational Test Data for Manufacturing Yield and DPPM/RMA I...OptimalPlus
ITC 2013, Craig Nishizaki, Senior Director of ATE Development, NVIDIA
"Leveraging Cross-Operational Test Data for Manufacturing Yield and DPPM/RMA Improvements"
Bosch ConnectedWorld 2017: Striving for Zero DPPMDavid Park
Optimal+ CTO, Michael Schuldenfrei, explains how big data product analytics can significantly lower PPM rates for both semiconductors and electronic systems, raising the overall quality and reliability of mission-critical automotive systems.
Through four use cases with examples, we describe how IEEE 1687 can be extended to include analog and mixed-signal chips, including linkage to circuit simulators on one end of the ecosystem and ATE on the other. The role of instrumentation, whether on the tester or on the device itself, is central to analog testing, and conveniently also the focal point of IEEE 1687. We identify enhancements to the modular netlist and test languages (ICL and PDL) to facilitate the description of the components involved in analog tests as well as the content of the tests themselves.
Leveraging Cross-Operational Test Data for Manufacturing Yield and DPPM/RMA I...OptimalPlus
ITC 2013, Craig Nishizaki, Senior Director of ATE Development, NVIDIA
"Leveraging Cross-Operational Test Data for Manufacturing Yield and DPPM/RMA Improvements"
Bosch ConnectedWorld 2017: Striving for Zero DPPMDavid Park
Optimal+ CTO, Michael Schuldenfrei, explains how big data product analytics can significantly lower PPM rates for both semiconductors and electronic systems, raising the overall quality and reliability of mission-critical automotive systems.
Through four use cases with examples, we describe how IEEE 1687 can be extended to include analog and mixed-signal chips, including linkage to circuit simulators on one end of the ecosystem and ATE on the other. The role of instrumentation, whether on the tester or on the device itself, is central to analog testing, and conveniently also the focal point of IEEE 1687. We identify enhancements to the modular netlist and test languages (ICL and PDL) to facilitate the description of the components involved in analog tests as well as the content of the tests themselves.
6TL-Engineering has developped a true flexible, modular test system platform concept to help engineering groups developping a reliable, flexible and efficient test system. This presentation shows the concept. used
Automation of Eddy Current Processes for NDTZetec Inc.
Discover how automation of eddy current processes in NDT inspections can deliver more analysis control with less resources.
Learn about RevospECT® Pro, the industry’s first commercially available high-powered, adaptable and scalable automated analysis system. It provides end users the power and control to perform comprehensive automated analysis of eddy current data.
For inspections, RevospECT Pro can be used in a primary or secondary role, or in a single pass configuration, saving significant time and money. In addition, RevospECT Pro is the foundation for delivery of value added tools such as noise measurement monitoring and automated HDC® (Historical Data Comparison) which are processed in parallel with the core analysis for timely results.
Inspection of pipe seam welds requires a volumetric nondestructive evaluation inspection method. Traditionally, radiography (RT) is used for manufacturing inspection, but this technology has several drawbacks. In terms of inspection capability, RT is insensitive to mis-oriented planar defects, and doesn’t provide any through-wall sizing capability. There is no immediate feedback to the welders, and RT is disruptive to other activities on the site. With safety regulations getting more and more severe worldwide, the use of radiography is being drastically restricted. Additionally, the cylindrical geometry of long seam welds requires specific attention with regards to examination coverage and flaw positioning.
This presentation will cover a recommended solution designed to provide excellent inspection capability, with permanent records. In addition, the overall duration of the inspection process is drastically reduced, and ultrasonic examination provides immediate feedback regarding the welding process.
Field Pressure Calibration and Equipment Maintenance Yokogawa1
Industrial automation is a revolutionary force in the reliability of large-scale business operations that are fundamental to our daily lives. Hundreds of thousands of sensors that measure everything from pressure to vibration can be found nearly everywhere, from factory floors to deep water oil wells. However, over time, sensors can degrade in performance, so it is critical to monitor and maintain the sensors to ensure they operate reliably throughout their useful life.
In this webinar you will learn:
The different types of sensors used in industrial processes
Basics of pressure sensor maintenance and validation
How device maintenance software can be used to efficiently monitor the health of field equipment
Learn about TAP Checker, a software tool for BSDL verification and automated validation of chip-level JTAG / boundary-scan implementations;
support for multi-chip modules; IEEE 1149.1 and IEEE 1149.6 compliant; VHDL, VERILOG, and STIL output;
Keysight i3070 (antes HP3070) Board Test InterfaceInterlatin
Conoce las caracterísiticas técnicas y utilidad del Keysight i3070 Board Test Interface (BTI) y cómo su nueva versión permite a ingenieros nuevos y con experiencia a realizar sus testplan de la mejor manera posible.
Challenges in Assessing Single Event Upset Impact on Processor SystemsWojciech Koszek
Abstract—This paper presents a test methodology developed at Xilinx for real-time soft-error rate testing as well as the software framework in which Device-Under-Test (DUT) and controlling computer are both synchronized with the proton beam controls and run experiments automatically in a predictable manner. The method presented has been successfully used for Zynq®-7000 All Programmable SoC testing at the UC Davis Crocker Nuclear Lab. Presented are the issues and challenges encountered during design and implementation of the framework, as well as lessons learned from the in-house experiments and bootstrapping tests performed with Thorium Foil. The method presented has helped Xilinx to deliver high-quality experimental data and to optimize time spent in the testing facility.
Keywords—Error detection, soft error, architectural vulnerability, statistical error, confidence level, beam facility control
eInfochips proven physical design flow, methodologies, and rich experience helps us to deliver physical design implementation with superior performance across 180 -16nm technology node. Our comprehensive internal checklist for Sign off ensures Netlist to GDSII in < 3 iterations.
Optimizing Fire3 and Gas System Design Using the ISA Technical Report ISA TR8...Kenexis
Fire and Gas Detection and Suppression Systems (FGS) have long been successfully employed as a safeguard in the process industries. Unfortunately, design methods for determining the quantity and placement of detectors have historically been less than satisfactory. Design practices based on rules of thumb and experiences have often resulted in design inconsistencies, and achievement of tolerable risk cannot be ascertained. Rule-based methods often place detectors where they are not needed and leave high risk areas unnecessarily exposed. ISA released technical report TR 84.00.07 to address this problem. This technical report explains the metrics, such as detector coverage, and techniques that can be applied to the design of FGS which results in optimal designs that are safer and more repeatable. This paper will provide an overview of the contents of the technical report, and also provide some case study examples that show how these performance-based methods result in superior designs to currently used techniques such as grid-based approaches.
Drilling systems automation is the real-time reliance on digital technology in creating a wellbore. It encompasses downhole tools and systems, surface drilling equipment, remote monitoring and the use of models and simulations while drilling. While its scope is large, its potential benefits are impressive, among them: fewer workers exposed to rig-floor hazards, the ability to realize repeatable performance drilling, and lower drilling risk. While drilling systems automation includes new drilling technology, it is most importantly a collaborative infrastructure for performance drilling. In 2008, a small group of engineers and scientists attending an SPE conference noted that automation was becoming a key topic in drilling and they formed a technical section to investigate it further. By 2015, the group reached a membership of sixteen hundred as the technology rapidly gaining acceptance. Why so much interest? The benefits and promises of an automated approach to drilling address the safety and fundamental economics of drilling. What will it take? Among the answers are an open collaborative digital environment at the wellsite, an openness of mind to digital technologies, and modified or new business practices. What are the barriers? The primary barrier is a lack of understanding and a fear of automation. When will it happen? It is happening now. Digital technologies are transforming the infrastructure of the drilling industry. Drilling systems automation uses this infrastructure to deliver safety and performance, and address cost.
Case study of dcs upgrade how to reduce stress during executionJohn Kingsley
iFluids Engineering ICS / DCS / SCADA Engineering Design, Procurement, Integration, Testing, Commissioning & Troubleshooting Services
Article Source: This guest blog post was written by Sunny R. Desai, an engineer in the DCS/PLC/SCADA department at Reliance Industries Ltd. A version of this article originally was published at InTech magazine.
Abstract— During the past year Xilinx, for the first time ever, set out to quantify the soft error rate of a multi-core microprocessor. This work extends on Xilinx’s 10+ years of heritage in FPGA radiation testing. Built on the 28 nanometer technology node, Xilinx’s ZynqTM family of devices integrate a processor subsystem with programmable logic. The processor subsystem includes two 32 bit ARM CortexTM-A9 CPU’s, two NEONTM floating point units, two SIMD processing units, an L1 and L2 cache, on chip SRAM memory and various peripherals. The programmable logic is directly connected with the processing subsystem via ARM’s AMBATM 4 AXI interface. This programmable logic is based on the 7 Series FPGA fabric, consisting of 6-input LUTs and DFFs along with Block RAM, DSP slices, multi-gigabit transceivers, and other blocks. Tests were performed using a proton beam to analyze the soft error susceptibility of the new device. Proton beam testing was deemed acceptable since previous neutron beam and proton beam testing had shown virtually identical cross-sections for 7 Series programmable logic. The results are promising and yield a solid baseline for a typical embedded application targeting any of the Zynq SoC devices. As a foray into processor testing, this Zynq work has laid a solid foundation for future Xilinx SoC test campaigns.
Austin Lesea, Wojciech Koszek, Glenn Steiner, Gary Swift, and Dagan White Xilinx, Inc.
Paper: SELSE 2014 @ Stanford University (PDF, 456KB), 2014
Slides: (PDF, 933KB), 2014
6TL-Engineering has developped a true flexible, modular test system platform concept to help engineering groups developping a reliable, flexible and efficient test system. This presentation shows the concept. used
Automation of Eddy Current Processes for NDTZetec Inc.
Discover how automation of eddy current processes in NDT inspections can deliver more analysis control with less resources.
Learn about RevospECT® Pro, the industry’s first commercially available high-powered, adaptable and scalable automated analysis system. It provides end users the power and control to perform comprehensive automated analysis of eddy current data.
For inspections, RevospECT Pro can be used in a primary or secondary role, or in a single pass configuration, saving significant time and money. In addition, RevospECT Pro is the foundation for delivery of value added tools such as noise measurement monitoring and automated HDC® (Historical Data Comparison) which are processed in parallel with the core analysis for timely results.
Inspection of pipe seam welds requires a volumetric nondestructive evaluation inspection method. Traditionally, radiography (RT) is used for manufacturing inspection, but this technology has several drawbacks. In terms of inspection capability, RT is insensitive to mis-oriented planar defects, and doesn’t provide any through-wall sizing capability. There is no immediate feedback to the welders, and RT is disruptive to other activities on the site. With safety regulations getting more and more severe worldwide, the use of radiography is being drastically restricted. Additionally, the cylindrical geometry of long seam welds requires specific attention with regards to examination coverage and flaw positioning.
This presentation will cover a recommended solution designed to provide excellent inspection capability, with permanent records. In addition, the overall duration of the inspection process is drastically reduced, and ultrasonic examination provides immediate feedback regarding the welding process.
Field Pressure Calibration and Equipment Maintenance Yokogawa1
Industrial automation is a revolutionary force in the reliability of large-scale business operations that are fundamental to our daily lives. Hundreds of thousands of sensors that measure everything from pressure to vibration can be found nearly everywhere, from factory floors to deep water oil wells. However, over time, sensors can degrade in performance, so it is critical to monitor and maintain the sensors to ensure they operate reliably throughout their useful life.
In this webinar you will learn:
The different types of sensors used in industrial processes
Basics of pressure sensor maintenance and validation
How device maintenance software can be used to efficiently monitor the health of field equipment
Learn about TAP Checker, a software tool for BSDL verification and automated validation of chip-level JTAG / boundary-scan implementations;
support for multi-chip modules; IEEE 1149.1 and IEEE 1149.6 compliant; VHDL, VERILOG, and STIL output;
Keysight i3070 (antes HP3070) Board Test InterfaceInterlatin
Conoce las caracterísiticas técnicas y utilidad del Keysight i3070 Board Test Interface (BTI) y cómo su nueva versión permite a ingenieros nuevos y con experiencia a realizar sus testplan de la mejor manera posible.
Challenges in Assessing Single Event Upset Impact on Processor SystemsWojciech Koszek
Abstract—This paper presents a test methodology developed at Xilinx for real-time soft-error rate testing as well as the software framework in which Device-Under-Test (DUT) and controlling computer are both synchronized with the proton beam controls and run experiments automatically in a predictable manner. The method presented has been successfully used for Zynq®-7000 All Programmable SoC testing at the UC Davis Crocker Nuclear Lab. Presented are the issues and challenges encountered during design and implementation of the framework, as well as lessons learned from the in-house experiments and bootstrapping tests performed with Thorium Foil. The method presented has helped Xilinx to deliver high-quality experimental data and to optimize time spent in the testing facility.
Keywords—Error detection, soft error, architectural vulnerability, statistical error, confidence level, beam facility control
eInfochips proven physical design flow, methodologies, and rich experience helps us to deliver physical design implementation with superior performance across 180 -16nm technology node. Our comprehensive internal checklist for Sign off ensures Netlist to GDSII in < 3 iterations.
Optimizing Fire3 and Gas System Design Using the ISA Technical Report ISA TR8...Kenexis
Fire and Gas Detection and Suppression Systems (FGS) have long been successfully employed as a safeguard in the process industries. Unfortunately, design methods for determining the quantity and placement of detectors have historically been less than satisfactory. Design practices based on rules of thumb and experiences have often resulted in design inconsistencies, and achievement of tolerable risk cannot be ascertained. Rule-based methods often place detectors where they are not needed and leave high risk areas unnecessarily exposed. ISA released technical report TR 84.00.07 to address this problem. This technical report explains the metrics, such as detector coverage, and techniques that can be applied to the design of FGS which results in optimal designs that are safer and more repeatable. This paper will provide an overview of the contents of the technical report, and also provide some case study examples that show how these performance-based methods result in superior designs to currently used techniques such as grid-based approaches.
Drilling systems automation is the real-time reliance on digital technology in creating a wellbore. It encompasses downhole tools and systems, surface drilling equipment, remote monitoring and the use of models and simulations while drilling. While its scope is large, its potential benefits are impressive, among them: fewer workers exposed to rig-floor hazards, the ability to realize repeatable performance drilling, and lower drilling risk. While drilling systems automation includes new drilling technology, it is most importantly a collaborative infrastructure for performance drilling. In 2008, a small group of engineers and scientists attending an SPE conference noted that automation was becoming a key topic in drilling and they formed a technical section to investigate it further. By 2015, the group reached a membership of sixteen hundred as the technology rapidly gaining acceptance. Why so much interest? The benefits and promises of an automated approach to drilling address the safety and fundamental economics of drilling. What will it take? Among the answers are an open collaborative digital environment at the wellsite, an openness of mind to digital technologies, and modified or new business practices. What are the barriers? The primary barrier is a lack of understanding and a fear of automation. When will it happen? It is happening now. Digital technologies are transforming the infrastructure of the drilling industry. Drilling systems automation uses this infrastructure to deliver safety and performance, and address cost.
Case study of dcs upgrade how to reduce stress during executionJohn Kingsley
iFluids Engineering ICS / DCS / SCADA Engineering Design, Procurement, Integration, Testing, Commissioning & Troubleshooting Services
Article Source: This guest blog post was written by Sunny R. Desai, an engineer in the DCS/PLC/SCADA department at Reliance Industries Ltd. A version of this article originally was published at InTech magazine.
Abstract— During the past year Xilinx, for the first time ever, set out to quantify the soft error rate of a multi-core microprocessor. This work extends on Xilinx’s 10+ years of heritage in FPGA radiation testing. Built on the 28 nanometer technology node, Xilinx’s ZynqTM family of devices integrate a processor subsystem with programmable logic. The processor subsystem includes two 32 bit ARM CortexTM-A9 CPU’s, two NEONTM floating point units, two SIMD processing units, an L1 and L2 cache, on chip SRAM memory and various peripherals. The programmable logic is directly connected with the processing subsystem via ARM’s AMBATM 4 AXI interface. This programmable logic is based on the 7 Series FPGA fabric, consisting of 6-input LUTs and DFFs along with Block RAM, DSP slices, multi-gigabit transceivers, and other blocks. Tests were performed using a proton beam to analyze the soft error susceptibility of the new device. Proton beam testing was deemed acceptable since previous neutron beam and proton beam testing had shown virtually identical cross-sections for 7 Series programmable logic. The results are promising and yield a solid baseline for a typical embedded application targeting any of the Zynq SoC devices. As a foray into processor testing, this Zynq work has laid a solid foundation for future Xilinx SoC test campaigns.
Austin Lesea, Wojciech Koszek, Glenn Steiner, Gary Swift, and Dagan White Xilinx, Inc.
Paper: SELSE 2014 @ Stanford University (PDF, 456KB), 2014
Slides: (PDF, 933KB), 2014
PID Control Of Sampled Measurements - Greg McMillan Deminar SeriesJim Cahill
This presentation, PID Control of Sampled Measurements, is from the first in Greg McMillan's live seminar / demo (a.k.a. deminar) series.
You can watch a recorded version of this presentation at: http://www.screencast.com/t/ODhlOWY4M
For future events and background, visit: http://www.emersonprocessxperts.com/archives/2010/04/free_series_of.html
This is my 6 Sigma case study pertaining to software introduction –commonly termed Release Management. This example captures LTE Radio System updates as an iterative (N+1) process in the scenario whereby a Supplier’s SW is underperforming necessitating more robust and efficient Release Testing.
It is supplier agnostic and can be applied to any Platform & SW Release Management regime where SDLC is the primary methodology and where system & customer data points are measurable in the environments.
HBT Innovation Series webinar presented by T Ashok, Architect-HBT and Founder & CEO, STAG Software on the topic - Deliver Superior Outcomes Using HBT Visualization Tool - on Feb 26, 2014.
Challenges in Protection Relay Testing for Tomorrow’s Power Grid
Very many challenges related to protection relay testing are met today in the field and in the research industry.
There are often new and more complex applications such as wind turbines, very fast switching power electronics, photovoltaic cells and the battery and electric vehicle technologies. This implies among other things new converter topologies and smart grid considerations. These systems cannot be protected the same way as what was already being done, so this increases the complexity of the algorithms used.
Real-time simulation is a novel approach to design and test protection relay algorithms.
Qualifying a high performance memory subsysten for Functional SafetyPankaj Singh
Addressing the Challenges of Safety verification for LPDDR4.
✓Avoid traditional approach of starting functional safety after functional verification : Iterative and expensive development phase
1. Functional Safety Need to be Architected and not added later.
2. Safety Analysis must start prior to implementation. ‘Design for safety/verification’
3. Reuse & Synergize : Nominal and Functional Safety Verification.
✓Fault optimization with formal and other techniques is necessary to overcome challenges with scaling simulation and analysis.
✓Integrated push button fault simulation flow is need of hour and saves verification engineers time.
✓Analog defect modelling and coverage can be performed based on IEEE P2427.
Improvement in Error Resilience in BIST using hamming codeIJMTST Journal
In the current scenario of IP core based SoC, to test the CUT we need to communication link between Circuit Under Test and ATPG, so before applying to actual DUT. If there is a problem with this link, there may be a lip in bit of test data. Compared to original test data, if there is a bit lip in the original data, the codeword may change and hence the decompressed data will have a large number of bit deviation. This deviation in bits can severely degrade the test quality and overall fault coverage which may affect yield. The error resilience is the capability of the test data to resist against such bit lips. Here in this paper, the earlier methods of error resilience is compared and a Hamming code based error resilience technique is proposed to improve the error resilience capacity of compressed test data. This method is applied on Huffman code based compressed test data of widely used ISCAS benchmark circuits. The fault coverage measurement results show the effectiveness of the proposed method. The basic goal here is to survey the effect of bit lips on fault coverage and prepare a platform for further development in this avenue.
Securing your Kubernetes cluster_ a step-by-step guide to success !KatiaHIMEUR1
Today, after several years of existence, an extremely active community and an ultra-dynamic ecosystem, Kubernetes has established itself as the de facto standard in container orchestration. Thanks to a wide range of managed services, it has never been so easy to set up a ready-to-use Kubernetes cluster.
However, this ease of use means that the subject of security in Kubernetes is often left for later, or even neglected. This exposes companies to significant risks.
In this talk, I'll show you step-by-step how to secure your Kubernetes cluster for greater peace of mind and reliability.
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...DanBrown980551
Do you want to learn how to model and simulate an electrical network from scratch in under an hour?
Then welcome to this PowSyBl workshop, hosted by Rte, the French Transmission System Operator (TSO)!
During the webinar, you will discover the PowSyBl ecosystem as well as handle and study an electrical network through an interactive Python notebook.
PowSyBl is an open source project hosted by LF Energy, which offers a comprehensive set of features for electrical grid modelling and simulation. Among other advanced features, PowSyBl provides:
- A fully editable and extendable library for grid component modelling;
- Visualization tools to display your network;
- Grid simulation tools, such as power flows, security analyses (with or without remedial actions) and sensitivity analyses;
The framework is mostly written in Java, with a Python binding so that Python developers can access PowSyBl functionalities as well.
What you will learn during the webinar:
- For beginners: discover PowSyBl's functionalities through a quick general presentation and the notebook, without needing any expert coding skills;
- For advanced developers: master the skills to efficiently apply PowSyBl functionalities to your real-world scenarios.
Connector Corner: Automate dynamic content and events by pushing a buttonDianaGray10
Here is something new! In our next Connector Corner webinar, we will demonstrate how you can use a single workflow to:
Create a campaign using Mailchimp with merge tags/fields
Send an interactive Slack channel message (using buttons)
Have the message received by managers and peers along with a test email for review
But there’s more:
In a second workflow supporting the same use case, you’ll see:
Your campaign sent to target colleagues for approval
If the “Approve” button is clicked, a Jira/Zendesk ticket is created for the marketing design team
But—if the “Reject” button is pushed, colleagues will be alerted via Slack message
Join us to learn more about this new, human-in-the-loop capability, brought to you by Integration Service connectors.
And...
Speakers:
Akshay Agnihotri, Product Manager
Charlie Greenberg, Host
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Ramesh Iyer
In today's fast-changing business world, Companies that adapt and embrace new ideas often need help to keep up with the competition. However, fostering a culture of innovation takes much work. It takes vision, leadership and willingness to take risks in the right proportion. Sachin Dev Duggal, co-founder of Builder.ai, has perfected the art of this balance, creating a company culture where creativity and growth are nurtured at each stage.
Elevating Tactical DDD Patterns Through Object CalisthenicsDorra BARTAGUIZ
After immersing yourself in the blue book and its red counterpart, attending DDD-focused conferences, and applying tactical patterns, you're left with a crucial question: How do I ensure my design is effective? Tactical patterns within Domain-Driven Design (DDD) serve as guiding principles for creating clear and manageable domain models. However, achieving success with these patterns requires additional guidance. Interestingly, we've observed that a set of constraints initially designed for training purposes remarkably aligns with effective pattern implementation, offering a more ‘mechanical’ approach. Let's explore together how Object Calisthenics can elevate the design of your tactical DDD patterns, offering concrete help for those venturing into DDD for the first time!
9. Optimal+ 2014 Company Confidential 9
Outlier Detection – Algorithms
D-PAT: Dynamic Part Average Testing
NNR: Nearest Neighbor Residual
Z-PAT: Z-Axis Part Average Testing
GDBN: Good Die in Bad Neighborhood
Zonal: Low yield zone-based detection
Final Test
Post Final-Test operation and Based on Die-ID (ECID etc.)
In real-time at Final-Test operation without Die-ID
10. Optimal+ 2014 Company Confidential 10
Cross-Operation Outlier Detection
Cross-operational quality based on Die ID
Contributing operations
ETEST/PCM/WAT
Wafer Sort
Final-Test
Burn-In
System Level Test
Example: E-Test based bin-switching performed post-Wafer Sort
The ability to identify potential bad devices based on E-test data
geographical analysis
Bin switching occurs post-wafer sort
Requires data-feed-forward within the supply chain