SlideShare a Scribd company logo
Language constructs ­ Data types, Arrays and Queues 
 
1) What is difference between a  logic and bit  data type? What will following code display? 
            logic  abc; 
            bit ​def​;  
            abc ​=​ ​'​x; 
            ​def​ ​=​ abc; 
            $display​("​abc​=%​h ​def​=%​h​",​ abc​,​ ​def​); 
 
2) Write a subroutine  that  can read data from an SRAM for a given address ? 
 
a) Assume s synchronous SRAM.  Drive read enable and read address on a clock 
edge and  sample the data once cycle later.  (Assume SRAM read return data 
with a one cycle latency).  
b) Will you use a task or function ? 
c) What arguments will you need ?   
 
   
3) Is it legal to call a function from inside a task? 
           task task1​()​ ; 
           endtask 
           ​function​ f1​(); 
             task1​(); 
           endfunction 
   
4) What will be the value of  a and c  in following enumerated type? 
           ​enum​ ​{​a​,​ b​=​7​,​ c​}​ alphabet; 
 
5) What will following code print ?  Concept: Understanding difference between == and === 
operators 
                  logic​[​2​:​0​]​ abc​,​ ​def; 
                  logic eq2​,​ eq3; 
                  abc​=​ ​3​'​b01x; 
                  ​def​=​ ​3​'​b01x​;  
                  eq2 ​=​ ​(​abc​==​def​); 
                  eq3 ​=​ ​(​abc​===​def​); 
                  $display​("​eq2​=%​b eq1​=%​b​",​  eq2​,​ eq1​); 
   
6) What is wrong in following code ? 
                     ​int​ A​[​9​:​0​]; 
                     ​int​ B​[​21​:​0​]; 
                     A ​=​ B​;  
 
7) Which of following are true with respect to arrays? 
 
a) Dynamic arrays are useful for contiguous collection of variables whose number 
keeps varying 
b) Associative arrays can be used when size of an array is not known as it can be built 
as key/value pairs 
c) Dynamic arrays can be resized after size is allocated once 
d) All of above 
 
8) Which of the following implementation using a systemverilog queue will give you a FIFO 
implementation? 
a) Use push_front() to put an entry in queue and use pop_front() to get entry from 
queue 
b) Use push_back() to put an entry in queue and use pop_front() to get entry from 
queue 
c) Use push_back() to put an entry in queue and use pop_back() to get entry from 
queue 
 
9) Identify which of following are packed and which are unpacked arrays? 
 
a) logic status[31:0] 
b) reg[31:0] registers[128]; 
c) Integer data [8][32] 
 
 
10) Write SystemVerilog code for following operations 
a) Create a dynamic array of integers 
b) Initialize the array to 10 integers with value 0 to 9 
c) Use array methods to  randomize the order of array 
d) Print the array contents 
e) Now use array methods to sort  in ascending order 
f) Print the array contents 
 
   
 
 
 
 
 
 
 
 
 
Threads and Interprocess communication 
 
1) In following code ­ what happens to threads A() and B() if C() finishes first ?   
          fork  
            A​(); 
            B​();  
            C​(); 
          join_any 
 
2) Analyze following code and answer following:  
a) How many concurrent process will this code spawn? 
b) If  task4()  finishes first,  how many child processes will be disabled by the 
“disable fork” ?   
 
   fork 
     task1​(); 
     ​begin 
       task2​(); 
       task3​(); 
     ​end  
     ​begin 
       task4​(); 
     ​end  
   join_any 
   disable fork; 
 
3) How many concurrent processes will be generated by code?  
fork 
    for​ ​(​int​ i​=​0​;​ i ​<​ ​10​;​ i​++​ ​)​ ​begin 
    ABC​(); 
    end 
join 
 
4) Which of the following are true with respect to comparing a queue and a mailbox? 
 
a) A mailbox is just a queue with no real difference 
b) A queue supports adding, removing or modifying any entry in the queue at any 
given time while a mailbox can only be accessed at head of it 
c) A mailbox size can be bounded while a queue has no limits in size 
 
5) What is difference between get() and peek() methods in mailbox? 
 
6) What is an event data type and what are its uses ? 
 
SystemVerilog classes 
 
1) What is the difference between  a local, protected and public data member of a 
SystemVerilog class? 
 
2) Does following  code illustrate   class inheritance of composition ? 
                ​class​  A; 
                endclass 
   
                ​class​ B; 
                  A a1; 
                  ​function​ ​new​(); 
                    a1 ​=​ ​new​(); 
                  endfunction 
                endclass 
 
3) Which of the following is  True ? 
a) A base class pointer can be used to reference an object of derived class type. 
b) A derived class pointer can be used to reference an object of base class type. 
  
4) What is a virtual method  in SystemVerilog class and  what is the use? 
 
5) What is  the need of   “super” keyword  in SystemVerilog class and when it will be used?  
 
 
Randomization and Constraints  
 
1) How can we change the constraint behavior defined in a base class  using class 
inheritance?  
 
2)  
 
 
 
 
 
 
 
 
 
 
 
 
Lab Exercises:  
1) Simulate a few examples to understand concepts of ​associative arrays and queues 
a) Create a simple associative array of  integers indexed with a string 
b) Add 3 entries to the associative array 
c) Print the full associative array contents using  assoc array methods 
 
2) Simulate a few examples to understand concepts of ​class definition, objects 
 
a) Create a simple packet class  with following data members ­ a 32 bit source and 
destination address,  a dynamic array of data bytes and a 32 bit CRC field 
b) Create some sample methods to print the  class contents,  set the data bytes to a 
random size with random values 
c) Create a test module and  create 10 instances of above packet and call the print 
method to display contents of packet 
 
3) Simulate a few examples to understand concept of ​class inheritance  
a) Continue from above exercise 
b) Derive an  error packet class  from packet class defined in previous exercise with 
a new data member as  “bit error” 
                    ​Class ErrPacket; 
c) In the  test module,  create an instance of derived packet 
d) Declare a base class pointer and assign the derived class object to base class 
pointer 
e) What happens when you try to access the  data member “error”  using base class 
pointer ? 
 
 
4) Simulate an example to understand concept of ​virtual methods 
a) Use a reference code where  a base class and a derived class has a virtual 
method and a non­virtual method 
b) Simulate and understand which of the methods gets called when referencing 
each object using different pointers 
 
5) Simulate a few examples to understand constraints and randomization  
                [TBD] 
 
     6)  Fork.. join  / join_any / join_none example 
 
 
 
 
 
 
 
 
References: 
 
1) Download a copy  of Language Reference Manual (LRM) ­ 
http://standards.ieee.org/getieee/1800/download/1800­2012.pdf  
 
2) A useful online Quick reference guide ­ Easily indexable 
             ​http://svref.renerta.com/ 
 
3) Online courses ­  ​http://verificationexcellence.in/online­courses/  
 
4) Books ­ Good reference  
a) SystemVerilog for Verification ­ Chris Spear 
b) Verilog and SystemVerilog Gotchas ­ Stuart Sutherland 
 
5) Interview focussed book ­ ​Cracking Digital VLSI Verification Interviews: Interview 
Success 
a) Available on amazon ​http://www.amazon.in/gp/product/B01CZ0Z08E 
 
6) Reference SystemVerilog examples available on GitHub 
                   ​https://github.com/VerificationExcellence/SystemVerilogReference 
 
7) Several other papers, tutorials  etc available 
a) See list here ​http://verificationexcellence.in/course­resources/general/  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Day 1 ­ Morning  ( 9 ­ 11)  
 
1) Introduction to SV ­ 10 mins 
 
2) Building blocks  ­ 30 mins 
       modules, programs, subroutines, package, interface)  
       Show with example code 
 
3) Language constructs ­ Data types, Arrays  ­  50 mins   
      Net/variables, 2 vs 4 valued, integer, user defined types 
      operators  
      Loop and flow controls 
      packed vs unpacked arrays 
      Dynamic and Assoc arrays 
      Queues and Lists  
 
4) Exercise/Quiz/Q&A ­ 30 mins   
 
Day 1 ­  Morning (11.15 to 12.30) 
 
1)  Threads and  Inter process communication 
       Sequential vs concurrent blocks,  fork..join , 
       Mailboxes, Semaphores and events   ­ 60 mins 
 
2)  Exercise / Quiz/ Q&A ­ 15 mins 
 
Day 1 ­ After noon (2­4) 
 
1) System Verilog Classes (90 mins) 
     Inheritance, Composition, Polymorphism 
     Class definition , Objects, virtual methods  
     Abstract class , Parameterized class 
     typedef class/forward declaration 
     Example usages of Classes 
 
2)  Exercise / Q&A / ­ 30 mins 
 
Day 2 ­ Morning  (9 ­ 11) 
 
1) Interfaces and Virtual Interfaces  ­ 15 mins 
2) Randomization and Constraints  ­  60 minutes 
        urandom, rand vs randc 
        constraint operators,  implication, loop/array constraints 
        dist constraints,  Inline constraints 
        Enable/disable/overriding constraints 
        Examples 
 
3) Exercise on constraints  ­ 30 minutes 
 
Day 2 ­ Morning (11.15 to 12.30) 
 
1) Building Test bench components 
2) Layered Test bench and concepts 
3) Exercise / Q &A 
 
Day 2 ­ Afternoon  (2­4) 
 
1) Lab   
      1) Exercise to demonstrate and understand some concepts on assoc arrays, queues 
      2) Exercise to demonstrate and understand concepts on class inheritance, virtual methods 
      3) Exercise to demonstrate  some  class randomization and constraints   
 
 

More Related Content

What's hot

Intermediate code generation in Compiler Design
Intermediate code generation in Compiler DesignIntermediate code generation in Compiler Design
Intermediate code generation in Compiler Design
Kuppusamy P
 
Compiler unit 5
Compiler  unit 5Compiler  unit 5
Compiler unit 5
BBDITM LUCKNOW
 
Syntax Comparison of Golang with C and Java - Mindbowser
Syntax Comparison of Golang with C and Java - MindbowserSyntax Comparison of Golang with C and Java - Mindbowser
Syntax Comparison of Golang with C and Java - Mindbowser
Mindbowser Inc
 
Hidden Truths in Dead Software Paths
Hidden Truths in Dead Software PathsHidden Truths in Dead Software Paths
Hidden Truths in Dead Software Paths
Ben Hermann
 
C language (Collected By Dushmanta)
C language  (Collected By Dushmanta)C language  (Collected By Dushmanta)
C language (Collected By Dushmanta)Dushmanta Nath
 
Chapter Seven(2)
Chapter Seven(2)Chapter Seven(2)
Chapter Seven(2)bolovv
 
C programming language tutorial
C programming language tutorial C programming language tutorial
C programming language tutorial
javaTpoint s
 
C++
C++C++
C101 – Intro to Programming with C
C101 – Intro to Programming with CC101 – Intro to Programming with C
C101 – Intro to Programming with C
gpsoft_sk
 
Compiler unit 2&3
Compiler unit 2&3Compiler unit 2&3
Compiler unit 2&3
BBDITM LUCKNOW
 
12 computer science_notes_ch01_overview_of_cpp
12 computer science_notes_ch01_overview_of_cpp12 computer science_notes_ch01_overview_of_cpp
12 computer science_notes_ch01_overview_of_cpp
sharvivek
 
C language basics
C language basicsC language basics
C language basics
Nikshithas R
 
Lecture 16 17 code-generation
Lecture 16 17 code-generationLecture 16 17 code-generation
Lecture 16 17 code-generation
Iffat Anjum
 
C programming notes
C programming notesC programming notes
C programming notes
Prof. Dr. K. Adisesha
 
Cs6660 compiler design may june 2016 Answer Key
Cs6660 compiler design may june 2016 Answer KeyCs6660 compiler design may june 2016 Answer Key
Cs6660 compiler design may june 2016 Answer Key
appasami
 
Tokens expressionsin C++
Tokens expressionsin C++Tokens expressionsin C++
Tokens expressionsin C++
HalaiHansaika
 
Introduction to HDLs
Introduction to HDLsIntroduction to HDLs
Introduction to HDLs
IndiraPriyadarshini30
 
Keywords, identifiers ,datatypes in C++
Keywords, identifiers ,datatypes in C++Keywords, identifiers ,datatypes in C++
Keywords, identifiers ,datatypes in C++
Ankur Pandey
 
Storage classes, linkage & memory management
Storage classes, linkage & memory managementStorage classes, linkage & memory management
Storage classes, linkage & memory management
MomenMostafa
 

What's hot (20)

Intermediate code generation in Compiler Design
Intermediate code generation in Compiler DesignIntermediate code generation in Compiler Design
Intermediate code generation in Compiler Design
 
Compiler unit 5
Compiler  unit 5Compiler  unit 5
Compiler unit 5
 
Ch8a
Ch8aCh8a
Ch8a
 
Syntax Comparison of Golang with C and Java - Mindbowser
Syntax Comparison of Golang with C and Java - MindbowserSyntax Comparison of Golang with C and Java - Mindbowser
Syntax Comparison of Golang with C and Java - Mindbowser
 
Hidden Truths in Dead Software Paths
Hidden Truths in Dead Software PathsHidden Truths in Dead Software Paths
Hidden Truths in Dead Software Paths
 
C language (Collected By Dushmanta)
C language  (Collected By Dushmanta)C language  (Collected By Dushmanta)
C language (Collected By Dushmanta)
 
Chapter Seven(2)
Chapter Seven(2)Chapter Seven(2)
Chapter Seven(2)
 
C programming language tutorial
C programming language tutorial C programming language tutorial
C programming language tutorial
 
C++
C++C++
C++
 
C101 – Intro to Programming with C
C101 – Intro to Programming with CC101 – Intro to Programming with C
C101 – Intro to Programming with C
 
Compiler unit 2&3
Compiler unit 2&3Compiler unit 2&3
Compiler unit 2&3
 
12 computer science_notes_ch01_overview_of_cpp
12 computer science_notes_ch01_overview_of_cpp12 computer science_notes_ch01_overview_of_cpp
12 computer science_notes_ch01_overview_of_cpp
 
C language basics
C language basicsC language basics
C language basics
 
Lecture 16 17 code-generation
Lecture 16 17 code-generationLecture 16 17 code-generation
Lecture 16 17 code-generation
 
C programming notes
C programming notesC programming notes
C programming notes
 
Cs6660 compiler design may june 2016 Answer Key
Cs6660 compiler design may june 2016 Answer KeyCs6660 compiler design may june 2016 Answer Key
Cs6660 compiler design may june 2016 Answer Key
 
Tokens expressionsin C++
Tokens expressionsin C++Tokens expressionsin C++
Tokens expressionsin C++
 
Introduction to HDLs
Introduction to HDLsIntroduction to HDLs
Introduction to HDLs
 
Keywords, identifiers ,datatypes in C++
Keywords, identifiers ,datatypes in C++Keywords, identifiers ,datatypes in C++
Keywords, identifiers ,datatypes in C++
 
Storage classes, linkage & memory management
Storage classes, linkage & memory managementStorage classes, linkage & memory management
Storage classes, linkage & memory management
 

Similar to Exercises on Advances in Verification Methodologies

C Interview Questions for Fresher
C Interview Questions for FresherC Interview Questions for Fresher
C Interview Questions for Fresher
Javed Ahmad
 
C interview-questions-techpreparation
C interview-questions-techpreparationC interview-questions-techpreparation
C interview-questions-techpreparationsonu sharma
 
C interview Question and Answer
C interview Question and AnswerC interview Question and Answer
C interview Question and Answer
Jagan Mohan Bishoyi
 
C interview-questions-techpreparation
C interview-questions-techpreparationC interview-questions-techpreparation
C interview-questions-techpreparationKgr Sushmitha
 
Pune Clojure Course Outline
Pune Clojure Course OutlinePune Clojure Course Outline
Pune Clojure Course Outline
Baishampayan Ghose
 
Assembly language programming_fundamentals 8086
Assembly language programming_fundamentals 8086Assembly language programming_fundamentals 8086
Assembly language programming_fundamentals 8086
Shehrevar Davierwala
 
cs241-f06-final-overview
cs241-f06-final-overviewcs241-f06-final-overview
cs241-f06-final-overviewColin Bell
 
0100_Embeded_C_CompilationProcess.pdf
0100_Embeded_C_CompilationProcess.pdf0100_Embeded_C_CompilationProcess.pdf
0100_Embeded_C_CompilationProcess.pdf
KhaledIbrahim10923
 
Software Security
Software SecuritySoftware Security
Software Security
Roman Oliynykov
 
LISP: назад в будущее, Микола Мозговий
LISP: назад в будущее, Микола МозговийLISP: назад в будущее, Микола Мозговий
LISP: назад в будущее, Микола Мозговий
Sigma Software
 
Mahout scala and spark bindings
Mahout scala and spark bindingsMahout scala and spark bindings
Mahout scala and spark bindingsDmitriy Lyubimov
 
Haskell for data science
Haskell for data scienceHaskell for data science
Haskell for data science
John Cant
 
Nicpaper2009
Nicpaper2009Nicpaper2009
Nicpaper2009
bikram ...
 
C language
C languageC language
C language
spatidar0
 
Lex and Yacc Tool M1.ppt
Lex and Yacc Tool M1.pptLex and Yacc Tool M1.ppt
Lex and Yacc Tool M1.ppt
MohitJain296729
 
C interview question answer 1
C interview question answer 1C interview question answer 1
C interview question answer 1Amit Kapoor
 
Generacion de codigo ensamblado
Generacion de codigo ensambladoGeneracion de codigo ensamblado
Generacion de codigo ensamblado
tre_na_gil
 
Buffer overflow attack
Buffer overflow attackBuffer overflow attack
Buffer overflow attack
Prithiviraj Prithiviraj
 
Matlab-3.pptx
Matlab-3.pptxMatlab-3.pptx
Matlab-3.pptx
aboma2hawi
 

Similar to Exercises on Advances in Verification Methodologies (20)

C Interview Questions for Fresher
C Interview Questions for FresherC Interview Questions for Fresher
C Interview Questions for Fresher
 
C interview-questions-techpreparation
C interview-questions-techpreparationC interview-questions-techpreparation
C interview-questions-techpreparation
 
C interview Question and Answer
C interview Question and AnswerC interview Question and Answer
C interview Question and Answer
 
C interview-questions-techpreparation
C interview-questions-techpreparationC interview-questions-techpreparation
C interview-questions-techpreparation
 
Pune Clojure Course Outline
Pune Clojure Course OutlinePune Clojure Course Outline
Pune Clojure Course Outline
 
Assembly language programming_fundamentals 8086
Assembly language programming_fundamentals 8086Assembly language programming_fundamentals 8086
Assembly language programming_fundamentals 8086
 
cs241-f06-final-overview
cs241-f06-final-overviewcs241-f06-final-overview
cs241-f06-final-overview
 
0100_Embeded_C_CompilationProcess.pdf
0100_Embeded_C_CompilationProcess.pdf0100_Embeded_C_CompilationProcess.pdf
0100_Embeded_C_CompilationProcess.pdf
 
Software Security
Software SecuritySoftware Security
Software Security
 
LISP: назад в будущее, Микола Мозговий
LISP: назад в будущее, Микола МозговийLISP: назад в будущее, Микола Мозговий
LISP: назад в будущее, Микола Мозговий
 
Mahout scala and spark bindings
Mahout scala and spark bindingsMahout scala and spark bindings
Mahout scala and spark bindings
 
I1
I1I1
I1
 
Haskell for data science
Haskell for data scienceHaskell for data science
Haskell for data science
 
Nicpaper2009
Nicpaper2009Nicpaper2009
Nicpaper2009
 
C language
C languageC language
C language
 
Lex and Yacc Tool M1.ppt
Lex and Yacc Tool M1.pptLex and Yacc Tool M1.ppt
Lex and Yacc Tool M1.ppt
 
C interview question answer 1
C interview question answer 1C interview question answer 1
C interview question answer 1
 
Generacion de codigo ensamblado
Generacion de codigo ensambladoGeneracion de codigo ensamblado
Generacion de codigo ensamblado
 
Buffer overflow attack
Buffer overflow attackBuffer overflow attack
Buffer overflow attack
 
Matlab-3.pptx
Matlab-3.pptxMatlab-3.pptx
Matlab-3.pptx
 

Recently uploaded

UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
Dorra BARTAGUIZ
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
Paul Groth
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
Ana-Maria Mihalceanu
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
Guy Korland
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
Product School
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
Elena Simperl
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
Frank van Harmelen
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
Safe Software
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Product School
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Tobias Schneck
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
Jemma Hussein Allen
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
Product School
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 
Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !
KatiaHIMEUR1
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 

Recently uploaded (20)

UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 
Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 

Exercises on Advances in Verification Methodologies