SlideShare a Scribd company logo
1 of 6
Download to read offline
International Journal of Engineering Research and Development
e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com
Volume 6, Issue 9 (April 2013), PP. 31-36
31
Comparative Logic Styles In Design Of Adder Using
VLSI
V.Supraja1
, S.Safiya2
, T.Kishore3
1,2,3
Assistant Professor, Department of Electronics and Communication Engineering,
Ravindra College of engineering for women, Kurnool-518002, A.P., India.
Abstract:- The main consideration for design and implementation a doubly-fed induction generator
(DFIG) applied to wind power generation driven by wind turbine is under study for low voltage ride-
through application during system unbalance. An improved control and operation of DFIG system
under unbalanced grid voltage conditions by coordinating the control of both the rotor side converter
(RSC) and the grid side converter (GSC) is done in this thesis. Simulation and analysis of DFIG
system with wind turbine using Fuzzy logic controller for RSC and GSC under unbalanced condition is
presented in the positive synchronous reference frame The steady-state operation of the DFIG and its
dynamic response to voltage sag resulting from a remote fault on the 120-kV system is shown in this
thesis using controllers. Modeling of DFIG system under Fuzzy logic controller to control voltage and
active-reactive powers is done using MATLAB/SIMULINK.
Keywords:- Converter, doubly fed induction generators (DFIGs), proportional integral (PI) plus
resonant (R; PI–R), voltage unbalance, wind energy
I. INTRODUCTION
WIND turbines based on doubly fed induction generators(DFIGs), with converters rated at about
25%–30% of The generator rating, have been widely used for large-scalewind generation. DFIG-based
system studies have been mainly based on a symmetrical grid voltage supply [1]–[4].design levels, such as
architectural, circuit layout, and the process technology level [1-3]. At the circuit design level, considerable
potential for power savings exists by means of proper choice of a logic style for implementing combinational
circuits. This is because all the important parameters governing power dissipation, switching capacitance,
transition activity, and short-circuit currents are strongly influenced by the chosen logic style. Depending on the
application, the kind of circuit to be implemented, and the design technique used, different performance aspects
become important, disallowing the formulation of universal rules for optimal logic styles. Power dissipation has
become a critical design metric for large number of VLSI circuits. The exploiting market of portable electronic
appliances fuels the demand for complex integrated system that can be powered by lightweight batteries with
larger recharge time. Therefore, in modern VLSI era the demand of low power design style becomes a hot
research topic.
This paper analyzes 16bit carry skip adder using pass-transistor logic styles. These implementations
are compared based on transistor count, power dissipation, and delay and power delay product. The power
delivered in the output is one of the main factors to analyze the power dissipation of the circuit. The designed
adder circuit has reduced the power dissipation due to CPL circuit implantation because it uses n-MOSFET. The
propagation delay of our circuit has reduced tremendously than the reported results. The propagation delay,
power dissipation and power delay product has obtained for different known sub micron feature size [14].
II. DESIGN METHODOLOGY
A ripple-carry adder is the simplest so that it is easy to design but is only practical for the
implementation of additions with a relatively small word length because the linear dependence of the adder
speed on the number of bits makes the usage of the ripple-carry adder rather impractical; since the carry bit
“ripple” from one stage to the other, the delay through the circuit depends on the number of logic stages that
must be traversed and is a function of the applied input signals. Fig1. Shows ripple-carry adder constructed by
cascading full adders in series. In ripple carry adder every full adder cell has to wait for the incoming carry
before an outgoing carry can be generated [4].
Comparative Logic Styles In Design Of Adder Using VLSI
32
Fig.1: Ripple carry adder
This dependency can be eliminated by introducing an additional bypass (skip) to speed up the operation
of the adder. An incoming carry Cin=1 propagates through complete adder chain and causes an outgoing carry
Cout=1 under the conditions that all propagation signals are 1. This information can be used to speed up the
operation of the adder, as shown Fig 2. When BP = P0P1P2P3 = 1, the incoming carry is forwarded immediately
to the next block through the bypass and if it is not the case, the carry is obtained via the normal route.
Fig.2: 4-bit Carry skip adder
By cascading four 4 bit carry skip adders in series we can construct 16 bit carry skip adder which is
shown in figure In the same way we can construct 32, 64 carry skip adders also.
Fig.3: 16 bit Carry skip adder
III. CARRY SKIP MECHANICS
Boolean equations:
From the full adder circuit shown in figure 4.
Carry propagate Pi=Ai XOR Bi,
Sum Si=Pi XOR Ci, and
Carry out Ci+1=Ai Bi + Pi Ci. From these equations we can observe if Ai=Bi then pi=0, it makes carry out Ci+1
depends only on Ai and Bi that is Ci+1= Ai Bi
Carry out Ci+1=0 if Ai = Bi = 0
Carry out Ci+1=1 if Ai = Bi = 1
If Ai ≠ Bi then Pi=1 Carry out Ci+1 is equal to the input carry Ci. So for all the input combinations which are not
equal the outgoing carry Ci+1 is equal to the input carry Ci.
Comparative Logic Styles In Design Of Adder Using VLSI
33
Fig.4: Full adder
A. Non-Clocked Pass gate logics
A logic style is the way how a logic function is constructed from a set of transistors. It influences the
speed, size, and power dissipation and wiring complexity of a circuit. All these characteristics may vary
considerably from one logic style to another and thus make the proper choice of logic style crucial for circuit
performance.
B. Complementary Pass Transistor Logic (CPL)
The full adder circuit designed by using complementary pass transistor logic (CPL) has swing
restoration ability. The basic difference between the pass-transistor logic and the complementary CMOS logic
styles is that the source side of the pass logic transistor network is connected to some input signals instead of the
power lines. The advantage is that one pass-transistor network (either PMOS or NMOS) is sufficient to
implement the logic function, which results in smaller number of transistors and input loads especially when
NMOS network used. However, pass-transistor logic has an inherent threshold voltage drop problem. The
output is a weak logic “1” when logic “1” is passed through a NMOS and a weak logic “0” when logic “0” is
passed through a PMOS [2-5, 9]. Therefore, output inverters are also used to ensure the drivability.
(A) AND Gate (B) XOR Gate (C) OR Gate
Fig.5: (A) AND, (B) XOR, (C) OR Gate using Complementary Pass Transistor Logic
C. Differential Cascade Voltage Swing Pass Transistor Logic (DCVSPL)
The DCVS logic with the pass gate is a means of extending the performance benefits associated with
DCVSL into pass gate topologies. The performance of DCVSPG logic can be extended by implementing pass
gate topology. Static DCVSL is a differential style of logic which requires both true and complementary signals
to be routed to gates. Two complementary NFET switching trees are connected to cross-coupled PFET
transistors [2-5]. Depending on the differential inputs, one of the outputs is pulled down by the corresponding
NFET network. The cross-coupled PFET transistors then latch the differential output. Since the inputs drive
only the NFET transistors of the switching trees, the input capacitance is typically two or three times smaller
than that of the conventional static CMOS logic[3,10,11].
In DCVSPG, both the NFET and PFET contribute to pull up performance, and both true and
complement outputs are actively driven to their logical value. The PFET device sizing sensitivity problem in
conventional DCVS is also eliminated. So that improperly sized PFET does not affect functionality. The DCVS
logic with the pass gate is a means of extending the performance benefits associated with DCVSL into pass gate
topologies. Static DCVSL is a differential style of logic requiring both true and complementary signals to be
routed to gates [12-13]. Depending on the differential inputs, one of the outputs is pulled down by the
Comparative Logic Styles In Design Of Adder Using VLSI
34
corresponding NFET network. The cross coupled PFET transistors then latch the differential output.
(A) AND Gate (B) XOR Gate (C) OR Gate
Fig.6: (A) AND Gate (B) XOR Gate (C) OR Gate Using Differential cascade voltage swing pass transistor logic
D. Energy Economized Pass Transistor Logic (EEPL)
The improvement to pass gates is to restore full voltage level swing while avoiding the FET
horsepower necessary to overcome the hysteresis of the latch [2-5, 10, 11]. EEPL reduces power Consumption
and delay by interrupting the feedback of the latches forming the load circuit in the Structure, allowing
reduction in the width of the NFET devices comprising the evaluate tree. The Device width reduction further
contributes to the power reduction. The circuit action simultaneously provides regenerative positive feedback,
providing shorter delays than comparative CPL circuits. EEPL will be a valuable logic element in low power
applications where performance is still essential.
(A) AND Gate (B) XOR Gate (C) OR Gate
Fig.7: (A) And Gate (B) XOR Gate (C) OR Gate Using Energy economized pass transistor logic (EEPL)
E. Swing Restored Pass Gate Logic (SRPL)
The generic SRPL gate consists of two main parts as shown in fig8. A complementary output pass
transistor logic network that is constructed of n-channel devices and latch type swing restoration circuit
consisting of two cross coupled CMOS inverters. The gate inputs are of two types: Pass variables that are
connected to the drains of the logic network transistors and control variables that are connected to the gates of
the transistors. The logic network has the ability to implement any random Boolean logic function. The
complementary outputs of the pass transistor logic network are restored to full swing by the swing restoration
circuit [1].
(A) AND Gate (B) XOR Gate (C) OR Gate
Fig.8: (A) And Gate (B) XOR Gate (C) OR Gate using Swing restored pass gate logic
IV. RESULTS
16 bit Carry skip adder has implemented in different pass transistor techniques and is simulated using
Comparative Logic Styles In Design Of Adder Using VLSI
35
CAD tools DSCH3 and microwind3.1 in submicron in regime. All the schematics were drawn using 65nm
technology with a 1 V supply voltage. The calculation of power, delay, power delay product and area were
carried out for 16 bit carry skip adder in CPL, DCVSPG, EEPL, SRPL logic style and the values are shown in
Table 1.
Table I: Performance of 16 bit carry skip adder in all the logic styles for 65nm technology.
Logic
style
Power
consumptio
n (mw)
Delay
(ns)
Power
delay
product
Area
(µm2
)
CPL 0.555 0.448 0.224x10-12
9537
DCVSG 0.787 0.807 0.635x10-12
11429
SRPL 4.550 1.186 5.396x10-12
16601
EEPL 5.512 1.520 8.378x10-12
19390
Table II: Performance of 16 bit carry skip adder in all the logic styles for 90 nm technology.
Logic
style
Power
consumptio
n(mw)
Delay
(ns)
Power
delay
product
Area
(µm2
)
CPL 1.245 1.040 1.294x10-12
15742
DCVSPG 1.896 1.840 3.488x10-12
18854
SRPL 14.766 2.700 39.86x10-12
27534
EEPL 18.623 3.445 64.15x10-12
31629
The graphs below shows power, delay, area, power delay product for different logics in 65nm technology.
Fig.9: Logic styles Vs Power dissipation for 16 bit carry skip adder
Fig.10: Logic styles Vs Delay for 16 bit carry skip adder
Fig.11: Logic styles Vs PDP for 16 bit carry skip adder
Comparative Logic Styles In Design Of Adder Using VLSI
36
Fig.12: Logic styles Vs Area for 16 bit carry skip adder
V. CONCLUSIONS
This paper analyzes power-dissipation, propagation delay, power delay product of 16 bit adder circuit
using different types of pass gate such as CPL, DCVSPG, SRPL, EEPL logic styles. It is found that the CPL
adder circuit is faster and gives better performance in terms of power consumption, propagation delay, power
delay product.
Ackknowledgements
The authors wish to thank the Management and HOD of ECE Department of Rajeev Gandhi Memorial
College of Engg. &Tech., Nandyal, Andhra Pradesh, India for providing facilities for the work.
REFERENCES
[1]. Reto Zimmermann and Wolfgang Fichtner “ Low-Power Logic Styles:CMOS versus Pass Transistor
Logic”IEEE journal of Solid-State Circuits, Vol.32, No.7, April 1997,pp.1079-1090.
[2]. D. Markovi, B. Nikoli and V.G. Oklobdzija "A general method in synthesis of pass-transistor
circuits" Microelectronics Journal 31, 2000, pp.991-998.
[3]. Fang-shi Lai and Wei Hwang, "Design and Implementation of Differential Cascade Voltage
Switch with Pass-Gate (DCVSPG) Logic for High-Performance Digital Systems" IEEE Journal
of Solid-State Circuits, Vol.32, No.4, (April 1997),pp.563-573.
[4]. “A Carry Skip Adder with Logic Level”, by Kim, Kwang Yoal, University of Rostock Electrical
Engineering and Information Technology, Germany.
[5]. Anantha P. Chandrakasan, Robert W. Brodersen, "Low Power Digital CMOS Design" Kluwer
Academic Publishers, 1995.
[6]. Jan M Rabaey and Massoud Pedram "Low Power Design Methodologies" Boston Kluwer
Academic Publishers, 1996.
[7]. Farid N. Najm "A survey of power estimation techniques in VLSI circuits" Invited paper, IEEE
Transactions on VLSI Systems, vol. 2, December 1994, pp. 446-455.
[8]. M. Vesterbacka, "A 14-transistor CMOS full adder with full voltage swing nodes," in Proc.
IEEE Workshop Signal Processing Systems, Oct. 1999, pp. 713-722.
[9]. Peter Celinski, Jose F. Lopez, S. Al-Sarawi and Derek Abbott "Low depth, low power carry
look ahead adders using threshold logic" Microelectronics Journal 33, 2002, pp.1071-1077.
[10]. Kilburn T., D. B. G. Edwards, and D. Aspinall, "Parallel Addition in Digital Computers: A
New Fast "Carry" Circuit", Proceedings of IEE, Volume 106, pt B, p.464, September 1959.
[11]. Oscal T.-C. Chen, Robin Ruey-Bin Sheen and Sandy Wang "A Low-Power Adder Operating
on Effective Dynamic Data Ranges" IEEE Transactions on Very Large Scale Integration
(VLSI) Systems, Vol.10, No.4, August 2002, pp.435-453.
[12]. G.A. Ruiz and M. Granda "An area-efficient static CMOS carry-select adder based on a
compact carry look-ahead unit" Microelectronics Journal 35, 2004, pp.939-944.
[13]. Michael J. Schulte, Kai Chirca, John Glossner, Haoran Wang, Suman Mamidi, Pablo Balzola,
Stamatis Vassiliadis, "A Low-Power Carry Skip Adder with Fast Saturation" Proceedings of the
15th IEEE International Conference on Application-Specific Systems, Architectures and
Processors (ASAP'04)2004, pp. 269-279.
[14]. “An efficient 16 bit Non-Clocked Pass Gates Adder Circuit with Improved Performance on Power
Constraint” by C.Senthilpari, Faculty of Engineering & Technology, Multimedia University, Jalan
Ayer, Keroh Lama,75450 Melaka,Malaysia

More Related Content

What's hot

Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...IISTech2015
 
Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Ashwin Shroff
 
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...IOSR Journals
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterDesign of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterVLSICS Design
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
 
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...LeMeniz Infotech
 
Elk 1909-125 manuscript-2
Elk 1909-125 manuscript-2Elk 1909-125 manuscript-2
Elk 1909-125 manuscript-2Hoopeer Hoopeer
 
Improved performance with fractional order control for asymmetrical cascaded ...
Improved performance with fractional order control for asymmetrical cascaded ...Improved performance with fractional order control for asymmetrical cascaded ...
Improved performance with fractional order control for asymmetrical cascaded ...journalBEEI
 
Inverse h-parameters and Interrelation with other parameters
Inverse h-parameters and Interrelation with other parameters Inverse h-parameters and Interrelation with other parameters
Inverse h-parameters and Interrelation with other parameters Omkar Rane
 
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...IJPEDS-IAES
 
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson PublishersCFOA based Integrator Suitable for Analog Signal Processing_ Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson PublishersCrimsonpublishers-Electronics
 
CFOA based Integrator Suitable for Analog Signal Processing: Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing: Crimson PublishersCFOA based Integrator Suitable for Analog Signal Processing: Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing: Crimson PublishersCrimsonpublishers-Electronics
 

What's hot (20)

Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
 
K1102016673
K1102016673K1102016673
K1102016673
 
Aw35271276
Aw35271276Aw35271276
Aw35271276
 
Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)
 
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterDesign of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
 
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
A unity power factor bridgeless isolated cuk converter fed brushless dc motor...
 
Elk 1909-125 manuscript-2
Elk 1909-125 manuscript-2Elk 1909-125 manuscript-2
Elk 1909-125 manuscript-2
 
Single Phase Asymmetrical Cascaded MLI with Extreme Output Voltage Levels to ...
Single Phase Asymmetrical Cascaded MLI with Extreme Output Voltage Levels to ...Single Phase Asymmetrical Cascaded MLI with Extreme Output Voltage Levels to ...
Single Phase Asymmetrical Cascaded MLI with Extreme Output Voltage Levels to ...
 
Performance Analysis of Dual Gate MOSFET in Adder
Performance Analysis of Dual Gate MOSFET in AdderPerformance Analysis of Dual Gate MOSFET in Adder
Performance Analysis of Dual Gate MOSFET in Adder
 
H05835260
H05835260H05835260
H05835260
 
ABCD parameter poster
ABCD parameter posterABCD parameter poster
ABCD parameter poster
 
Btp final
Btp finalBtp final
Btp final
 
Improved performance with fractional order control for asymmetrical cascaded ...
Improved performance with fractional order control for asymmetrical cascaded ...Improved performance with fractional order control for asymmetrical cascaded ...
Improved performance with fractional order control for asymmetrical cascaded ...
 
Inverse h-parameters and Interrelation with other parameters
Inverse h-parameters and Interrelation with other parameters Inverse h-parameters and Interrelation with other parameters
Inverse h-parameters and Interrelation with other parameters
 
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...
FPGA Based Design and Validation of Asymmetrical Reduced Switch Multilevel In...
 
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson PublishersCFOA based Integrator Suitable for Analog Signal Processing_ Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing_ Crimson Publishers
 
CFOA based Integrator Suitable for Analog Signal Processing: Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing: Crimson PublishersCFOA based Integrator Suitable for Analog Signal Processing: Crimson Publishers
CFOA based Integrator Suitable for Analog Signal Processing: Crimson Publishers
 

Similar to Welcome to International Journal of Engineering Research and Development (IJERD)

Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adderijsrd.com
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSVLSICS Design
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyIJARIIT
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...VLSICS Design
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersKumar Goud
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET Journal
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET Journal
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE VLSICS Design
 
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...IJERA Editor
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsVLSICS Design
 
Adiabatic Logic Based Low Power Carry Select Adder for future Technologies
Adiabatic Logic Based Low Power Carry Select Adder for future TechnologiesAdiabatic Logic Based Low Power Carry Select Adder for future Technologies
Adiabatic Logic Based Low Power Carry Select Adder for future TechnologiesIJMER
 
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopLow Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopAssociate Professor in VSB Coimbatore
 

Similar to Welcome to International Journal of Engineering Research and Development (IJERD) (20)

Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adder
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technology
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select Adders
 
Batch32 seminar ppt.pptx
Batch32 seminar ppt.pptxBatch32 seminar ppt.pptx
Batch32 seminar ppt.pptx
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
 
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
Modified Headfirst Sliding Routing: A Time-Based Routing Scheme for Bus-Nochy...
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signals
 
Adiabatic Logic Based Low Power Carry Select Adder for future Technologies
Adiabatic Logic Based Low Power Carry Select Adder for future TechnologiesAdiabatic Logic Based Low Power Carry Select Adder for future Technologies
Adiabatic Logic Based Low Power Carry Select Adder for future Technologies
 
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopLow Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
 

More from IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksIJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEIJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignIJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationIJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRIJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingIJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string valueIJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeIJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraIJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingIJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart GridIJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderIJERD Editor
 

More from IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Recently uploaded

My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?XfilesPro
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhisoniya singh
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAndikSusilo4
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 

Recently uploaded (20)

My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & Application
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 

Welcome to International Journal of Engineering Research and Development (IJERD)

  • 1. International Journal of Engineering Research and Development e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com Volume 6, Issue 9 (April 2013), PP. 31-36 31 Comparative Logic Styles In Design Of Adder Using VLSI V.Supraja1 , S.Safiya2 , T.Kishore3 1,2,3 Assistant Professor, Department of Electronics and Communication Engineering, Ravindra College of engineering for women, Kurnool-518002, A.P., India. Abstract:- The main consideration for design and implementation a doubly-fed induction generator (DFIG) applied to wind power generation driven by wind turbine is under study for low voltage ride- through application during system unbalance. An improved control and operation of DFIG system under unbalanced grid voltage conditions by coordinating the control of both the rotor side converter (RSC) and the grid side converter (GSC) is done in this thesis. Simulation and analysis of DFIG system with wind turbine using Fuzzy logic controller for RSC and GSC under unbalanced condition is presented in the positive synchronous reference frame The steady-state operation of the DFIG and its dynamic response to voltage sag resulting from a remote fault on the 120-kV system is shown in this thesis using controllers. Modeling of DFIG system under Fuzzy logic controller to control voltage and active-reactive powers is done using MATLAB/SIMULINK. Keywords:- Converter, doubly fed induction generators (DFIGs), proportional integral (PI) plus resonant (R; PI–R), voltage unbalance, wind energy I. INTRODUCTION WIND turbines based on doubly fed induction generators(DFIGs), with converters rated at about 25%–30% of The generator rating, have been widely used for large-scalewind generation. DFIG-based system studies have been mainly based on a symmetrical grid voltage supply [1]–[4].design levels, such as architectural, circuit layout, and the process technology level [1-3]. At the circuit design level, considerable potential for power savings exists by means of proper choice of a logic style for implementing combinational circuits. This is because all the important parameters governing power dissipation, switching capacitance, transition activity, and short-circuit currents are strongly influenced by the chosen logic style. Depending on the application, the kind of circuit to be implemented, and the design technique used, different performance aspects become important, disallowing the formulation of universal rules for optimal logic styles. Power dissipation has become a critical design metric for large number of VLSI circuits. The exploiting market of portable electronic appliances fuels the demand for complex integrated system that can be powered by lightweight batteries with larger recharge time. Therefore, in modern VLSI era the demand of low power design style becomes a hot research topic. This paper analyzes 16bit carry skip adder using pass-transistor logic styles. These implementations are compared based on transistor count, power dissipation, and delay and power delay product. The power delivered in the output is one of the main factors to analyze the power dissipation of the circuit. The designed adder circuit has reduced the power dissipation due to CPL circuit implantation because it uses n-MOSFET. The propagation delay of our circuit has reduced tremendously than the reported results. The propagation delay, power dissipation and power delay product has obtained for different known sub micron feature size [14]. II. DESIGN METHODOLOGY A ripple-carry adder is the simplest so that it is easy to design but is only practical for the implementation of additions with a relatively small word length because the linear dependence of the adder speed on the number of bits makes the usage of the ripple-carry adder rather impractical; since the carry bit “ripple” from one stage to the other, the delay through the circuit depends on the number of logic stages that must be traversed and is a function of the applied input signals. Fig1. Shows ripple-carry adder constructed by cascading full adders in series. In ripple carry adder every full adder cell has to wait for the incoming carry before an outgoing carry can be generated [4].
  • 2. Comparative Logic Styles In Design Of Adder Using VLSI 32 Fig.1: Ripple carry adder This dependency can be eliminated by introducing an additional bypass (skip) to speed up the operation of the adder. An incoming carry Cin=1 propagates through complete adder chain and causes an outgoing carry Cout=1 under the conditions that all propagation signals are 1. This information can be used to speed up the operation of the adder, as shown Fig 2. When BP = P0P1P2P3 = 1, the incoming carry is forwarded immediately to the next block through the bypass and if it is not the case, the carry is obtained via the normal route. Fig.2: 4-bit Carry skip adder By cascading four 4 bit carry skip adders in series we can construct 16 bit carry skip adder which is shown in figure In the same way we can construct 32, 64 carry skip adders also. Fig.3: 16 bit Carry skip adder III. CARRY SKIP MECHANICS Boolean equations: From the full adder circuit shown in figure 4. Carry propagate Pi=Ai XOR Bi, Sum Si=Pi XOR Ci, and Carry out Ci+1=Ai Bi + Pi Ci. From these equations we can observe if Ai=Bi then pi=0, it makes carry out Ci+1 depends only on Ai and Bi that is Ci+1= Ai Bi Carry out Ci+1=0 if Ai = Bi = 0 Carry out Ci+1=1 if Ai = Bi = 1 If Ai ≠ Bi then Pi=1 Carry out Ci+1 is equal to the input carry Ci. So for all the input combinations which are not equal the outgoing carry Ci+1 is equal to the input carry Ci.
  • 3. Comparative Logic Styles In Design Of Adder Using VLSI 33 Fig.4: Full adder A. Non-Clocked Pass gate logics A logic style is the way how a logic function is constructed from a set of transistors. It influences the speed, size, and power dissipation and wiring complexity of a circuit. All these characteristics may vary considerably from one logic style to another and thus make the proper choice of logic style crucial for circuit performance. B. Complementary Pass Transistor Logic (CPL) The full adder circuit designed by using complementary pass transistor logic (CPL) has swing restoration ability. The basic difference between the pass-transistor logic and the complementary CMOS logic styles is that the source side of the pass logic transistor network is connected to some input signals instead of the power lines. The advantage is that one pass-transistor network (either PMOS or NMOS) is sufficient to implement the logic function, which results in smaller number of transistors and input loads especially when NMOS network used. However, pass-transistor logic has an inherent threshold voltage drop problem. The output is a weak logic “1” when logic “1” is passed through a NMOS and a weak logic “0” when logic “0” is passed through a PMOS [2-5, 9]. Therefore, output inverters are also used to ensure the drivability. (A) AND Gate (B) XOR Gate (C) OR Gate Fig.5: (A) AND, (B) XOR, (C) OR Gate using Complementary Pass Transistor Logic C. Differential Cascade Voltage Swing Pass Transistor Logic (DCVSPL) The DCVS logic with the pass gate is a means of extending the performance benefits associated with DCVSL into pass gate topologies. The performance of DCVSPG logic can be extended by implementing pass gate topology. Static DCVSL is a differential style of logic which requires both true and complementary signals to be routed to gates. Two complementary NFET switching trees are connected to cross-coupled PFET transistors [2-5]. Depending on the differential inputs, one of the outputs is pulled down by the corresponding NFET network. The cross-coupled PFET transistors then latch the differential output. Since the inputs drive only the NFET transistors of the switching trees, the input capacitance is typically two or three times smaller than that of the conventional static CMOS logic[3,10,11]. In DCVSPG, both the NFET and PFET contribute to pull up performance, and both true and complement outputs are actively driven to their logical value. The PFET device sizing sensitivity problem in conventional DCVS is also eliminated. So that improperly sized PFET does not affect functionality. The DCVS logic with the pass gate is a means of extending the performance benefits associated with DCVSL into pass gate topologies. Static DCVSL is a differential style of logic requiring both true and complementary signals to be routed to gates [12-13]. Depending on the differential inputs, one of the outputs is pulled down by the
  • 4. Comparative Logic Styles In Design Of Adder Using VLSI 34 corresponding NFET network. The cross coupled PFET transistors then latch the differential output. (A) AND Gate (B) XOR Gate (C) OR Gate Fig.6: (A) AND Gate (B) XOR Gate (C) OR Gate Using Differential cascade voltage swing pass transistor logic D. Energy Economized Pass Transistor Logic (EEPL) The improvement to pass gates is to restore full voltage level swing while avoiding the FET horsepower necessary to overcome the hysteresis of the latch [2-5, 10, 11]. EEPL reduces power Consumption and delay by interrupting the feedback of the latches forming the load circuit in the Structure, allowing reduction in the width of the NFET devices comprising the evaluate tree. The Device width reduction further contributes to the power reduction. The circuit action simultaneously provides regenerative positive feedback, providing shorter delays than comparative CPL circuits. EEPL will be a valuable logic element in low power applications where performance is still essential. (A) AND Gate (B) XOR Gate (C) OR Gate Fig.7: (A) And Gate (B) XOR Gate (C) OR Gate Using Energy economized pass transistor logic (EEPL) E. Swing Restored Pass Gate Logic (SRPL) The generic SRPL gate consists of two main parts as shown in fig8. A complementary output pass transistor logic network that is constructed of n-channel devices and latch type swing restoration circuit consisting of two cross coupled CMOS inverters. The gate inputs are of two types: Pass variables that are connected to the drains of the logic network transistors and control variables that are connected to the gates of the transistors. The logic network has the ability to implement any random Boolean logic function. The complementary outputs of the pass transistor logic network are restored to full swing by the swing restoration circuit [1]. (A) AND Gate (B) XOR Gate (C) OR Gate Fig.8: (A) And Gate (B) XOR Gate (C) OR Gate using Swing restored pass gate logic IV. RESULTS 16 bit Carry skip adder has implemented in different pass transistor techniques and is simulated using
  • 5. Comparative Logic Styles In Design Of Adder Using VLSI 35 CAD tools DSCH3 and microwind3.1 in submicron in regime. All the schematics were drawn using 65nm technology with a 1 V supply voltage. The calculation of power, delay, power delay product and area were carried out for 16 bit carry skip adder in CPL, DCVSPG, EEPL, SRPL logic style and the values are shown in Table 1. Table I: Performance of 16 bit carry skip adder in all the logic styles for 65nm technology. Logic style Power consumptio n (mw) Delay (ns) Power delay product Area (µm2 ) CPL 0.555 0.448 0.224x10-12 9537 DCVSG 0.787 0.807 0.635x10-12 11429 SRPL 4.550 1.186 5.396x10-12 16601 EEPL 5.512 1.520 8.378x10-12 19390 Table II: Performance of 16 bit carry skip adder in all the logic styles for 90 nm technology. Logic style Power consumptio n(mw) Delay (ns) Power delay product Area (µm2 ) CPL 1.245 1.040 1.294x10-12 15742 DCVSPG 1.896 1.840 3.488x10-12 18854 SRPL 14.766 2.700 39.86x10-12 27534 EEPL 18.623 3.445 64.15x10-12 31629 The graphs below shows power, delay, area, power delay product for different logics in 65nm technology. Fig.9: Logic styles Vs Power dissipation for 16 bit carry skip adder Fig.10: Logic styles Vs Delay for 16 bit carry skip adder Fig.11: Logic styles Vs PDP for 16 bit carry skip adder
  • 6. Comparative Logic Styles In Design Of Adder Using VLSI 36 Fig.12: Logic styles Vs Area for 16 bit carry skip adder V. CONCLUSIONS This paper analyzes power-dissipation, propagation delay, power delay product of 16 bit adder circuit using different types of pass gate such as CPL, DCVSPG, SRPL, EEPL logic styles. It is found that the CPL adder circuit is faster and gives better performance in terms of power consumption, propagation delay, power delay product. Ackknowledgements The authors wish to thank the Management and HOD of ECE Department of Rajeev Gandhi Memorial College of Engg. &Tech., Nandyal, Andhra Pradesh, India for providing facilities for the work. REFERENCES [1]. Reto Zimmermann and Wolfgang Fichtner “ Low-Power Logic Styles:CMOS versus Pass Transistor Logic”IEEE journal of Solid-State Circuits, Vol.32, No.7, April 1997,pp.1079-1090. [2]. D. Markovi, B. Nikoli and V.G. Oklobdzija "A general method in synthesis of pass-transistor circuits" Microelectronics Journal 31, 2000, pp.991-998. [3]. Fang-shi Lai and Wei Hwang, "Design and Implementation of Differential Cascade Voltage Switch with Pass-Gate (DCVSPG) Logic for High-Performance Digital Systems" IEEE Journal of Solid-State Circuits, Vol.32, No.4, (April 1997),pp.563-573. [4]. “A Carry Skip Adder with Logic Level”, by Kim, Kwang Yoal, University of Rostock Electrical Engineering and Information Technology, Germany. [5]. Anantha P. Chandrakasan, Robert W. Brodersen, "Low Power Digital CMOS Design" Kluwer Academic Publishers, 1995. [6]. Jan M Rabaey and Massoud Pedram "Low Power Design Methodologies" Boston Kluwer Academic Publishers, 1996. [7]. Farid N. Najm "A survey of power estimation techniques in VLSI circuits" Invited paper, IEEE Transactions on VLSI Systems, vol. 2, December 1994, pp. 446-455. [8]. M. Vesterbacka, "A 14-transistor CMOS full adder with full voltage swing nodes," in Proc. IEEE Workshop Signal Processing Systems, Oct. 1999, pp. 713-722. [9]. Peter Celinski, Jose F. Lopez, S. Al-Sarawi and Derek Abbott "Low depth, low power carry look ahead adders using threshold logic" Microelectronics Journal 33, 2002, pp.1071-1077. [10]. Kilburn T., D. B. G. Edwards, and D. Aspinall, "Parallel Addition in Digital Computers: A New Fast "Carry" Circuit", Proceedings of IEE, Volume 106, pt B, p.464, September 1959. [11]. Oscal T.-C. Chen, Robin Ruey-Bin Sheen and Sandy Wang "A Low-Power Adder Operating on Effective Dynamic Data Ranges" IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.10, No.4, August 2002, pp.435-453. [12]. G.A. Ruiz and M. Granda "An area-efficient static CMOS carry-select adder based on a compact carry look-ahead unit" Microelectronics Journal 35, 2004, pp.939-944. [13]. Michael J. Schulte, Kai Chirca, John Glossner, Haoran Wang, Suman Mamidi, Pablo Balzola, Stamatis Vassiliadis, "A Low-Power Carry Skip Adder with Fast Saturation" Proceedings of the 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP'04)2004, pp. 269-279. [14]. “An efficient 16 bit Non-Clocked Pass Gates Adder Circuit with Improved Performance on Power Constraint” by C.Senthilpari, Faculty of Engineering & Technology, Multimedia University, Jalan Ayer, Keroh Lama,75450 Melaka,Malaysia