SlideShare a Scribd company logo
1 of 3
Download to read offline
Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81
www.ijera.com 79 | P a g e
Low Power Clock Distribution Schemes in VLSI Design
1
Sandeep Sharma , 2
Mr. Dharmendra Verma
1
M. Tech-Microelectronics Department, Subharti Institute Of Technology & Engineering, Swami Vivekanand
Subharti University, Meerut, India
2
Head Of Department, Electrnics And Comminication Engineering, Swami Vivekanand Subharti University,
Meerut, India
E-mail: er.sandeepsharma51@gmail.com, vermad2000@gmail.com
ABSTRACT
This paper reviewed the comparison between different clock distribution schemes which used for low power
VLSI design which are the most important aspect in the industry. The main clock distribution schemes are
single driver clock scheme and distributed buffers clock scheme. There are different tradeoffs in both the
techniques such as size of buffers, number of buffers etc.
Keywords: Clock gating, PMBS, RC delay line, Clock jitter, Clock skew.
I. INTRODUCTION
CMOS digital systems are approaching to very high frequency range. So power consumption and dissipation is a
considerable field. In the VLSI there are various steps, among which routing has very important affect on power
consumption. Clock tree used for globally distribution the clock signal to all modules.
Power dissipation in CMOS is characterized by short circuit power dissipation and dynamic power dissipation.
Short circuit current is during switching interval between NMOS & PMOS. Dynamic power dissipation is due to
charging and discharging capacitor. Due to high frequency used in recent technology switching activity increase
resulting it large load introduced. Hence clock is the major source of dynamic power dissipation. Dynamic
power dissipation by switching of clock is given by:
P = V2
.f. (C + C ) …(1)
clkdd LD
Where CL is Total load capacitance on clock which is given
by
C = N.C + 1.5(2h
-1).C + á (4h
.N.C )1/4
…(2)
L g W W
N=Number of clock terminals.
Cg = Capacitance at each terminal. h = Level of clock routing.
á = Estimation factor depending on routing algorithm.
There are two problems in clock generation (a) clock skew:
This is the variation in delay from clock source to clock destination in different clocks that is shown in fig (a)
Fig (a) Clock skew & Clock jitter
RESEARCH ARTICLE OPEN ACCESS
Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81
www.ijera.com 80 | P a g e
(b) Clock jitter is defined as temporal variation of clock with respect to reference edge. It is of two type long
jitter and cycle to cycle jitter. The above both of problems are minimize by using good appropriate clock scheme
either single or distributed buffer.
Single Buffer: Buffers are used in clock schemes to drive load capacitance and fast tansitions. The single driver
scheme has the advantage of avoiding the adjustment of intermediate buffer delay as in distributed buffer
schemes. Often in conjuction with this scheme, wire sizing is used to reduce the clock phase delay. Widening
the branches that are closed to clock source can also reduce clock source skew caused by asymmetric clock tree
load and wire with deviations. If the interconnect resistance of the buffer at the clock source is small as
compared to the buffer output resistance, it is called as single driver clock scheme.
Distributed buffer scheme: In this, intermediate buffers are inserted in the various part of clock tree.
Advantage of using small buffer is flexible to place for save layout. Here clock skew and phase delay is reduced
by intermediate buffers. This is the most common and general approach to equi-potential clock distribution
scheme. It leads to an asymmetric structure. All paths are balanced in the distributed buffer scheme as shown in
fig (b).
Fig (b)- Distributed buffer scheme
of product of path length and load capacitance. Hence if wire width increase, clock skew decrease but here load
capacitance is increase then power dissipation increase. So decrement in power dissipation is done by both path
delay and load decreasing.
Buffer size can be minimize for reducing power dissipation. It can be formulated as- given a clock tree T,
intermediate buffer is inserted by insertion algorithm, the problem of power minimization by buffer
sizing(PMBS) is determine buffer size in T, to minimize total power subject to phase delay constraint dp and
skew constraint tb
s.
Clock Gating: It is very useful method for power reduction of clock signals. In this actually we use gating
function to turn off the clock feeding the module when there is no clock required for some extended period.
Clock gating saves power by reducing unnecessary clock activities in the module.
During the process some modules in a processor unit may be left ideal for an extensive period depending upon
the software it is executing.
Intermediate small buffer and wire widening is used to reduce delay. But wire widening require large size of
buffer at source. In single driver short circuit power dissipation is more than distributed buffer scheme due to the
reason of small buffer used in distributed buffer scheme.
Clock line can also be treated as RC delay lie as shown in fig (c).
Fig(c)-Clock line treated as RC delay line
Clock skew between source s1 and s2 is given by
Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81
www.ijera.com 81 | P a g e
ts=RL1.CL1/w1 –RL2.CL2/w2 ...(3)
without wire width variation skew is linear function of path length and with wire width variation skew is linear
function
Fig. (d) Clock Gating
The design complexity and clock gating performance degradation are generally manageable. The gated clock
signal suffers an additional gate delay due to the gating function. In most high performance chip design, the
clock distribution network consists of a multiple hierarchy tree feeding the sequential elements e.g. Tree, H-
Tree, X-Tree, Mesh, etc. The masking gate simply replaces one of the buffers in the clock distribution tree.
Therefore, the delay penalty is not a concern.
II. CONCLUSION
Clock delay and skew minimization is an important problem in design and layout of high speed VLSI circuits,
Different problems in clock like clock jitter, clock skew is also incorporated when clock is designed. These
problems are eliminated by using different clock schemes which have different tradeoffs. According to
requirement appropriate technique is used.
REFERENCES
[1]. T. G. Noll and E. De Man, “Pushing the performance limits due to power dissipation of future ULSI
chips,” in Proc. of the ISCAS’92, San Diego, May 1992, pp. 1652-1655.
[2]. Y. Jiren and C. Svensson, “High speed CMOS circuit technique,” IEEE J. Solid-state Circuits, vol. 24,
pp. 70, Feb. 1989.
[3]. M. Afghahi and C. Svensson, “A unified single phase clocking scheme for VLSI systems,” IEEE J. Solid-
state Circuits, vol. 25, pp. 225-233, Feb. 1990.
[4]. D. W. Dohberpuhl et al., “A 200-MHz 64-h, dual-issue CMOS microprocessor,” IEEE J. Solid-state
circuits, vol. 27, pp. 1555-1566, Nov. 1992.
[5]. K. Yano et al., “A 3.8-ns CMOS 16 x 16-h multiplier using complementary pass-transistor logic,” IEEE
J. Solid-state Circuits, vol. 25, pp. 388-395, Apr. 1990.
[6]. M. Suzuki, “A 1.5 nS 32b CMOS ALU in double pass-transistor logic,” in ZSSCC Dig. Tech. Papers,
1993, pp. 90-91.
[7]. K. Kikuchi et al., “A single-chip 16-hit 25 nS real-time videohmage signal processing,” IEEE J. Solid-
state Circuits, vol. 24, no. 6, pp. 1662-1667, Dec. 1989
[8]. B.Pontikakis and M. Nekili, “A New Area-Power Efficient Split-Output TSPC CMOS Latch for High-
speed VLSIApplications,” International Conference of Microelectronics (ICM2001), Morocco, Oct. 29-3
1,2001.
[9]. M.Afghahi and J. Yuan, “Double Edge-Triggered D-Flip- Flops for High-speed CMOS Circuits,” IEEE J.
Solid-state Circuits, vol. SC-26, no. 8, pp. 1168-1 170, August 1991.
BIOGRAPHY OF AUTHORS
Sandeep Sharma is pursuing M.Tech Microelectronics from Subharti Institute Of Technology &
Engineering, Swami Vivekanand Subharti University, India. His area of interest is low power
VLSI Design.
Mr. Dharmendra Verma is Head of Department of Electronics and Communication Engineering,
Subharti University. He is interested in Microelectronics Design & Technology. He has guided
many B.Tech and M.Tech students. He has also published many papers in National and
International Journals.

More Related Content

What's hot

IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...
IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...
IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...IRJET Journal
 
Edd clustering algorithm for
Edd clustering algorithm forEdd clustering algorithm for
Edd clustering algorithm forcsandit
 
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...IRJET Journal
 
La3518941898
La3518941898La3518941898
La3518941898IJERA Editor
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyIJEEE
 
D-Flip Flop Layout: Efficient in Terms of Area and Power
D-Flip Flop Layout: Efficient in Terms of  Area and Power D-Flip Flop Layout: Efficient in Terms of  Area and Power
D-Flip Flop Layout: Efficient in Terms of Area and Power IJEEE
 
De31486489
De31486489De31486489
De31486489IJMER
 
IRJET - Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...
IRJET  -  	  Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...IRJET  -  	  Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...
IRJET - Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...IRJET Journal
 
High speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotationHigh speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotationIAEME Publication
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technologyijtsrd
 
Dg source allocation by fuzzy and sa in distribution system for loss reductio...
Dg source allocation by fuzzy and sa in distribution system for loss reductio...Dg source allocation by fuzzy and sa in distribution system for loss reductio...
Dg source allocation by fuzzy and sa in distribution system for loss reductio...Alexander Decker
 
DIGITAL WAVE FORMULATION OF THE PEEC METHOD
DIGITAL WAVE FORMULATION OF THE PEEC METHODDIGITAL WAVE FORMULATION OF THE PEEC METHOD
DIGITAL WAVE FORMULATION OF THE PEEC METHODPiero Belforte
 
ENERGY EFFICIENCY IN AD HOC NETWORKS
ENERGY EFFICIENCY IN AD HOC NETWORKSENERGY EFFICIENCY IN AD HOC NETWORKS
ENERGY EFFICIENCY IN AD HOC NETWORKSijasuc
 
A survey report on mapping of networks
A survey report on mapping of networksA survey report on mapping of networks
A survey report on mapping of networksIRJET Journal
 
Temperatures effects on cascaded Mach-Zehnder interferometer structures
Temperatures effects on cascaded Mach-Zehnder interferometer structuresTemperatures effects on cascaded Mach-Zehnder interferometer structures
Temperatures effects on cascaded Mach-Zehnder interferometer structuresjournalBEEI
 
Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Alexander Decker
 

What's hot (19)

IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...
IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...
IRJET - Modelling and Analysis of Permanent Magnet Synchronous Generator for ...
 
Edd clustering algorithm for
Edd clustering algorithm forEdd clustering algorithm for
Edd clustering algorithm for
 
eeca
eecaeeca
eeca
 
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...
IRJET- Simulation, Design and Implementation of Magnetic Field Gradient Coils...
 
La3518941898
La3518941898La3518941898
La3518941898
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS Technology
 
D-Flip Flop Layout: Efficient in Terms of Area and Power
D-Flip Flop Layout: Efficient in Terms of  Area and Power D-Flip Flop Layout: Efficient in Terms of  Area and Power
D-Flip Flop Layout: Efficient in Terms of Area and Power
 
De31486489
De31486489De31486489
De31486489
 
IRJET - Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...
IRJET  -  	  Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...IRJET  -  	  Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...
IRJET - Optimizing a High Lateral Misalignment Tolerance of the Short-Ra...
 
High speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotationHigh speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotation
 
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS TechnologyComparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
Comparative Analysis of Efficient Designs of D Latch using 32nm CMOS Technology
 
Dg source allocation by fuzzy and sa in distribution system for loss reductio...
Dg source allocation by fuzzy and sa in distribution system for loss reductio...Dg source allocation by fuzzy and sa in distribution system for loss reductio...
Dg source allocation by fuzzy and sa in distribution system for loss reductio...
 
DIGITAL WAVE FORMULATION OF THE PEEC METHOD
DIGITAL WAVE FORMULATION OF THE PEEC METHODDIGITAL WAVE FORMULATION OF THE PEEC METHOD
DIGITAL WAVE FORMULATION OF THE PEEC METHOD
 
ENERGY EFFICIENCY IN AD HOC NETWORKS
ENERGY EFFICIENCY IN AD HOC NETWORKSENERGY EFFICIENCY IN AD HOC NETWORKS
ENERGY EFFICIENCY IN AD HOC NETWORKS
 
A survey report on mapping of networks
A survey report on mapping of networksA survey report on mapping of networks
A survey report on mapping of networks
 
Temperatures effects on cascaded Mach-Zehnder interferometer structures
Temperatures effects on cascaded Mach-Zehnder interferometer structuresTemperatures effects on cascaded Mach-Zehnder interferometer structures
Temperatures effects on cascaded Mach-Zehnder interferometer structures
 
Tutorial res2 dinv
Tutorial res2 dinvTutorial res2 dinv
Tutorial res2 dinv
 
Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...
 
08
0808
08
 

Viewers also liked

Development of Aircraft Components
Development of Aircraft Components Development of Aircraft Components
Development of Aircraft Components IJERA Editor
 
Investigation on Natural Circulation Loop with Nanofluid
Investigation on Natural Circulation Loop with NanofluidInvestigation on Natural Circulation Loop with Nanofluid
Investigation on Natural Circulation Loop with NanofluidIJERA Editor
 
Water Quality of River Musi during 2012-2013, Telangana, India
Water Quality of River Musi during 2012-2013, Telangana, IndiaWater Quality of River Musi during 2012-2013, Telangana, India
Water Quality of River Musi during 2012-2013, Telangana, IndiaIJERA Editor
 
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...IJERA Editor
 
Preparation of Petroleum Product from Waste Plastic
Preparation of Petroleum Product from Waste PlasticPreparation of Petroleum Product from Waste Plastic
Preparation of Petroleum Product from Waste PlasticIJERA Editor
 
Design and Analysis of First Stage 22omw Gas Turbine Rotor Blade
Design and Analysis of First Stage 22omw Gas Turbine Rotor BladeDesign and Analysis of First Stage 22omw Gas Turbine Rotor Blade
Design and Analysis of First Stage 22omw Gas Turbine Rotor BladeIJERA Editor
 
A Hough Transform Based On a Map-Reduce Algorithm
A Hough Transform Based On a Map-Reduce AlgorithmA Hough Transform Based On a Map-Reduce Algorithm
A Hough Transform Based On a Map-Reduce AlgorithmIJERA Editor
 
Industrial Applications of Automatic Speech Recognition Systems
Industrial Applications of Automatic Speech Recognition SystemsIndustrial Applications of Automatic Speech Recognition Systems
Industrial Applications of Automatic Speech Recognition SystemsIJERA Editor
 
Some Results on Fuzzy Supra Topological Spaces
Some Results on Fuzzy Supra Topological SpacesSome Results on Fuzzy Supra Topological Spaces
Some Results on Fuzzy Supra Topological SpacesIJERA Editor
 
Research on Optimization, Dynamics and Stability of Stairclimbing Wheelchair
Research on Optimization, Dynamics and Stability of Stairclimbing WheelchairResearch on Optimization, Dynamics and Stability of Stairclimbing Wheelchair
Research on Optimization, Dynamics and Stability of Stairclimbing WheelchairIJERA Editor
 
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...IJERA Editor
 
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...IJERA Editor
 
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...Intermodulation Distortion Cancellation by Feedforward Linearization of Power...
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...IJERA Editor
 
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...IJERA Editor
 
Classification of storm water and sea water samples by zero-, first- and seco...
Classification of storm water and sea water samples by zero-, first- and seco...Classification of storm water and sea water samples by zero-, first- and seco...
Classification of storm water and sea water samples by zero-, first- and seco...IJERA Editor
 
Preservation of Food Items Using Solar Dryers: A Review
Preservation of Food Items Using Solar Dryers: A ReviewPreservation of Food Items Using Solar Dryers: A Review
Preservation of Food Items Using Solar Dryers: A ReviewIJERA Editor
 
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...IJERA Editor
 
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...IJERA Editor
 
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...IJERA Editor
 

Viewers also liked (19)

Development of Aircraft Components
Development of Aircraft Components Development of Aircraft Components
Development of Aircraft Components
 
Investigation on Natural Circulation Loop with Nanofluid
Investigation on Natural Circulation Loop with NanofluidInvestigation on Natural Circulation Loop with Nanofluid
Investigation on Natural Circulation Loop with Nanofluid
 
Water Quality of River Musi during 2012-2013, Telangana, India
Water Quality of River Musi during 2012-2013, Telangana, IndiaWater Quality of River Musi during 2012-2013, Telangana, India
Water Quality of River Musi during 2012-2013, Telangana, India
 
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...
Ease of Use and Its Effect on User Decision of Adopting New Method of Car Ren...
 
Preparation of Petroleum Product from Waste Plastic
Preparation of Petroleum Product from Waste PlasticPreparation of Petroleum Product from Waste Plastic
Preparation of Petroleum Product from Waste Plastic
 
Design and Analysis of First Stage 22omw Gas Turbine Rotor Blade
Design and Analysis of First Stage 22omw Gas Turbine Rotor BladeDesign and Analysis of First Stage 22omw Gas Turbine Rotor Blade
Design and Analysis of First Stage 22omw Gas Turbine Rotor Blade
 
A Hough Transform Based On a Map-Reduce Algorithm
A Hough Transform Based On a Map-Reduce AlgorithmA Hough Transform Based On a Map-Reduce Algorithm
A Hough Transform Based On a Map-Reduce Algorithm
 
Industrial Applications of Automatic Speech Recognition Systems
Industrial Applications of Automatic Speech Recognition SystemsIndustrial Applications of Automatic Speech Recognition Systems
Industrial Applications of Automatic Speech Recognition Systems
 
Some Results on Fuzzy Supra Topological Spaces
Some Results on Fuzzy Supra Topological SpacesSome Results on Fuzzy Supra Topological Spaces
Some Results on Fuzzy Supra Topological Spaces
 
Research on Optimization, Dynamics and Stability of Stairclimbing Wheelchair
Research on Optimization, Dynamics and Stability of Stairclimbing WheelchairResearch on Optimization, Dynamics and Stability of Stairclimbing Wheelchair
Research on Optimization, Dynamics and Stability of Stairclimbing Wheelchair
 
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...
Studies On Fracture Toughness Behavior of Hybrid Aluminum Metal Matrix Compos...
 
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...
Comparison of CFD Simulation of a Hyundai I20 Model with Four Different Turbu...
 
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...Intermodulation Distortion Cancellation by Feedforward Linearization of Power...
Intermodulation Distortion Cancellation by Feedforward Linearization of Power...
 
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...
Influence Of The Powder/Asphalt Ratio On The High Stress Responses Of Crumb R...
 
Classification of storm water and sea water samples by zero-, first- and seco...
Classification of storm water and sea water samples by zero-, first- and seco...Classification of storm water and sea water samples by zero-, first- and seco...
Classification of storm water and sea water samples by zero-, first- and seco...
 
Preservation of Food Items Using Solar Dryers: A Review
Preservation of Food Items Using Solar Dryers: A ReviewPreservation of Food Items Using Solar Dryers: A Review
Preservation of Food Items Using Solar Dryers: A Review
 
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...
Hollow-Polyaniline-Sphere-Coated Sensor For Measuring Gas-Phase OH Radicals A...
 
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...
Designing Unbalanced Assembly Lines: A Simulation Analysis to Evaluate Impact...
 
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...
Biocompatibility of Poly (L-Lactic Acid) Synthesized In Polymerization Unit B...
 

Similar to Low Power Clock Distribution Schemes in VLSI Design

Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyIJEEE
 
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...IRJET Journal
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
 
Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3IAEME Publication
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...IJERA Editor
 
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...iosrjce
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Efficient reconfigurable architecture of baseband demodulator in sdr
Efficient reconfigurable architecture of baseband demodulator in sdrEfficient reconfigurable architecture of baseband demodulator in sdr
Efficient reconfigurable architecture of baseband demodulator in sdreSAT Journals
 
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGAComparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGAIRJET Journal
 
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...TELKOMNIKA JOURNAL
 

Similar to Low Power Clock Distribution Schemes in VLSI Design (20)

Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
 
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
 
Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3Design of 16 bit low power processor using clock gating technique 2-3
Design of 16 bit low power processor using clock gating technique 2-3
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...
A Review: Compensation of Mismatches in Time Interleaved Analog to Digital Co...
 
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...
Time Domain Modelling of Optical Add-drop filter based on Microcavity Ring Re...
 
K010627787
K010627787K010627787
K010627787
 
Vlsi ncrtcc
Vlsi ncrtccVlsi ncrtcc
Vlsi ncrtcc
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Efficient reconfigurable architecture of baseband demodulator in sdr
Efficient reconfigurable architecture of baseband demodulator in sdrEfficient reconfigurable architecture of baseband demodulator in sdr
Efficient reconfigurable architecture of baseband demodulator in sdr
 
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGAComparative Study of Delay Line Based Time to Digital Converter using FPGA
Comparative Study of Delay Line Based Time to Digital Converter using FPGA
 
P0450495100
P0450495100P0450495100
P0450495100
 
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
A power efficient delta-sigma ADC with series-bilinear switch capacitor volta...
 

Recently uploaded

Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoĂŁo Esperancinha
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 

Recently uploaded (20)

Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 

Low Power Clock Distribution Schemes in VLSI Design

  • 1. Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81 www.ijera.com 79 | P a g e Low Power Clock Distribution Schemes in VLSI Design 1 Sandeep Sharma , 2 Mr. Dharmendra Verma 1 M. Tech-Microelectronics Department, Subharti Institute Of Technology & Engineering, Swami Vivekanand Subharti University, Meerut, India 2 Head Of Department, Electrnics And Comminication Engineering, Swami Vivekanand Subharti University, Meerut, India E-mail: er.sandeepsharma51@gmail.com, vermad2000@gmail.com ABSTRACT This paper reviewed the comparison between different clock distribution schemes which used for low power VLSI design which are the most important aspect in the industry. The main clock distribution schemes are single driver clock scheme and distributed buffers clock scheme. There are different tradeoffs in both the techniques such as size of buffers, number of buffers etc. Keywords: Clock gating, PMBS, RC delay line, Clock jitter, Clock skew. I. INTRODUCTION CMOS digital systems are approaching to very high frequency range. So power consumption and dissipation is a considerable field. In the VLSI there are various steps, among which routing has very important affect on power consumption. Clock tree used for globally distribution the clock signal to all modules. Power dissipation in CMOS is characterized by short circuit power dissipation and dynamic power dissipation. Short circuit current is during switching interval between NMOS & PMOS. Dynamic power dissipation is due to charging and discharging capacitor. Due to high frequency used in recent technology switching activity increase resulting it large load introduced. Hence clock is the major source of dynamic power dissipation. Dynamic power dissipation by switching of clock is given by: P = V2 .f. (C + C ) …(1) clkdd LD Where CL is Total load capacitance on clock which is given by C = N.C + 1.5(2h -1).C + á (4h .N.C )1/4 …(2) L g W W N=Number of clock terminals. Cg = Capacitance at each terminal. h = Level of clock routing. á = Estimation factor depending on routing algorithm. There are two problems in clock generation (a) clock skew: This is the variation in delay from clock source to clock destination in different clocks that is shown in fig (a) Fig (a) Clock skew & Clock jitter RESEARCH ARTICLE OPEN ACCESS
  • 2. Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81 www.ijera.com 80 | P a g e (b) Clock jitter is defined as temporal variation of clock with respect to reference edge. It is of two type long jitter and cycle to cycle jitter. The above both of problems are minimize by using good appropriate clock scheme either single or distributed buffer. Single Buffer: Buffers are used in clock schemes to drive load capacitance and fast tansitions. The single driver scheme has the advantage of avoiding the adjustment of intermediate buffer delay as in distributed buffer schemes. Often in conjuction with this scheme, wire sizing is used to reduce the clock phase delay. Widening the branches that are closed to clock source can also reduce clock source skew caused by asymmetric clock tree load and wire with deviations. If the interconnect resistance of the buffer at the clock source is small as compared to the buffer output resistance, it is called as single driver clock scheme. Distributed buffer scheme: In this, intermediate buffers are inserted in the various part of clock tree. Advantage of using small buffer is flexible to place for save layout. Here clock skew and phase delay is reduced by intermediate buffers. This is the most common and general approach to equi-potential clock distribution scheme. It leads to an asymmetric structure. All paths are balanced in the distributed buffer scheme as shown in fig (b). Fig (b)- Distributed buffer scheme of product of path length and load capacitance. Hence if wire width increase, clock skew decrease but here load capacitance is increase then power dissipation increase. So decrement in power dissipation is done by both path delay and load decreasing. Buffer size can be minimize for reducing power dissipation. It can be formulated as- given a clock tree T, intermediate buffer is inserted by insertion algorithm, the problem of power minimization by buffer sizing(PMBS) is determine buffer size in T, to minimize total power subject to phase delay constraint dp and skew constraint tb s. Clock Gating: It is very useful method for power reduction of clock signals. In this actually we use gating function to turn off the clock feeding the module when there is no clock required for some extended period. Clock gating saves power by reducing unnecessary clock activities in the module. During the process some modules in a processor unit may be left ideal for an extensive period depending upon the software it is executing. Intermediate small buffer and wire widening is used to reduce delay. But wire widening require large size of buffer at source. In single driver short circuit power dissipation is more than distributed buffer scheme due to the reason of small buffer used in distributed buffer scheme. Clock line can also be treated as RC delay lie as shown in fig (c). Fig(c)-Clock line treated as RC delay line Clock skew between source s1 and s2 is given by
  • 3. Sandeep Sharma. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 6, Issue 7, ( Part -1) July 2016, pp.79-81 www.ijera.com 81 | P a g e ts=RL1.CL1/w1 –RL2.CL2/w2 ...(3) without wire width variation skew is linear function of path length and with wire width variation skew is linear function Fig. (d) Clock Gating The design complexity and clock gating performance degradation are generally manageable. The gated clock signal suffers an additional gate delay due to the gating function. In most high performance chip design, the clock distribution network consists of a multiple hierarchy tree feeding the sequential elements e.g. Tree, H- Tree, X-Tree, Mesh, etc. The masking gate simply replaces one of the buffers in the clock distribution tree. Therefore, the delay penalty is not a concern. II. CONCLUSION Clock delay and skew minimization is an important problem in design and layout of high speed VLSI circuits, Different problems in clock like clock jitter, clock skew is also incorporated when clock is designed. These problems are eliminated by using different clock schemes which have different tradeoffs. According to requirement appropriate technique is used. REFERENCES [1]. T. G. Noll and E. De Man, “Pushing the performance limits due to power dissipation of future ULSI chips,” in Proc. of the ISCAS’92, San Diego, May 1992, pp. 1652-1655. [2]. Y. Jiren and C. Svensson, “High speed CMOS circuit technique,” IEEE J. Solid-state Circuits, vol. 24, pp. 70, Feb. 1989. [3]. M. Afghahi and C. Svensson, “A unified single phase clocking scheme for VLSI systems,” IEEE J. Solid- state Circuits, vol. 25, pp. 225-233, Feb. 1990. [4]. D. W. Dohberpuhl et al., “A 200-MHz 64-h, dual-issue CMOS microprocessor,” IEEE J. Solid-state circuits, vol. 27, pp. 1555-1566, Nov. 1992. [5]. K. Yano et al., “A 3.8-ns CMOS 16 x 16-h multiplier using complementary pass-transistor logic,” IEEE J. Solid-state Circuits, vol. 25, pp. 388-395, Apr. 1990. [6]. M. Suzuki, “A 1.5 nS 32b CMOS ALU in double pass-transistor logic,” in ZSSCC Dig. Tech. Papers, 1993, pp. 90-91. [7]. K. Kikuchi et al., “A single-chip 16-hit 25 nS real-time videohmage signal processing,” IEEE J. Solid- state Circuits, vol. 24, no. 6, pp. 1662-1667, Dec. 1989 [8]. B.Pontikakis and M. Nekili, “A New Area-Power Efficient Split-Output TSPC CMOS Latch for High- speed VLSIApplications,” International Conference of Microelectronics (ICM2001), Morocco, Oct. 29-3 1,2001. [9]. M.Afghahi and J. Yuan, “Double Edge-Triggered D-Flip- Flops for High-speed CMOS Circuits,” IEEE J. Solid-state Circuits, vol. SC-26, no. 8, pp. 1168-1 170, August 1991. BIOGRAPHY OF AUTHORS Sandeep Sharma is pursuing M.Tech Microelectronics from Subharti Institute Of Technology & Engineering, Swami Vivekanand Subharti University, India. His area of interest is low power VLSI Design. Mr. Dharmendra Verma is Head of Department of Electronics and Communication Engineering, Subharti University. He is interested in Microelectronics Design & Technology. He has guided many B.Tech and M.Tech students. He has also published many papers in National and International Journals.