SlideShare a Scribd company logo
1 of 3
Download to read offline
IJSRD - International Journal for Scientific Research & Development| Vol. 2, Issue 07, 2014 | ISSN (online): 2321-0613
All rights reserved by www.ijsrd.com 3
Faults in Digital VLSI Circuits
Sandeep Kumar Ojha1
1
Assistant Professor
1
St. Andrew’s Institute of Technology & Management, Farrukh nagar, Gurgaon
Abstract— In considering the techniques that may be used
for digital circuit testing, two distinct philosophies may be
found, First is Functional Testing, which undertake a series
of functional tests and check for the correct (fault free) 0 or
1 output response. It does not consider how the circuit is
designed, but only that it gives the correct output during test
and second one is Fault Modelling in whichto consider the
possible Faults that may occur within the circuit, and then to
apply a series of tests which are specifically formulated to
check whether each of these faults is present or not.The
faults which are likely to occur on the wafer during the
manufacture of the ICs, and compute the result on the circuit
output(s) with or without each fault present. Each of the
final series of tests is then designed to show that a particular
fault is present or not.
Key words: SBTPG, IC manufacturer, Digital circuit, Stuck-
at faults, ATPG, PCB, MCM, ECL, TTL, CMOS
I. INTRODUCTION
The stuck-at faults, where a particular node in the circuit is
always at logic 0 or at logic 1, and bridging faults, where
adjacent nodes or tracks are considered to be shorted
together. This is aided by the fact that a test for one potential
fault will often also test for other faults, and the
determination of a minimum test set to cover all the faults
being modelled is a powerful objective.However in theory
of digital circuit which passes all its fault modelling tests
may still not be fully functional.
A. Controllability and Observability
Two terms need to be considered before discussing further
aspects of digital circuit testing. These are controllability
and observability.
B. Controllability
The basic concept of controllability is simple, it is measure
of how easily a node in a digital circuit can be set to logic 0
or to logic 1 by signals applied to the accessible (primary)
inputs. The controllability of circuits containing latches and
flip-flops (sequential circuits) The block diagram of
controllability isas shown in the fig.1.1 –
C. Observability
It is measure of how easily the state of a given node (logic 0
or logic 1) can be determined from the logic signals
available at the accessible (primary) outputs. The block
diagram of observability is as shown in the fig.1.2-
Turning to observability, consider the simple
circuit shown in the fig1.2. Suppose it is necessary to
observe the logic value on node 2 . In order that this logic
value propagates to the primary output Z , to give a different
logic value at Z depending upon whether the node is logic 0
or logic 1 , it is clear that nodes 1 and 4 must be set to logic
1 and node 6 to logic 0. Hence primary signals must be
chosen so that these conditions are present on nodes 1,4 and
6, in which case output Z will be solely dependent upon
node 2. Node 2 will then be observable.
These two terms were first introduced in the 1970’s
in an attempt to quantify the ease (or difficulty) of testing a
digital circuit, with the aim of bringing to the attention of
the circuit designer during the design phase potentially
difficult to test circuit arrangements so that circuit
modifications could be considered.
D. Structurally Based Test Pattern Generation
The consideration of possible faults in a digital circuit is
undertaken in order to establish a minimum set of test
vectors which collectively will test that the faults are present
or not. If none of the predefined faults are detected, then the
circuit is considered to be fault free. This procedure is
sometimes termed as structurally-based test pattern
generation. When the test vectors are automatically
generated from the circuit layout, its termed as automatic
test pattern generation (ATPG).
The faults in digital circuits which are usually
considered two types, which are:
E. Stuck-at faults
The most common model that as been used for faults in
digital circuits is the single stuck-at fault model. This
assumes that any physical defect in a digital circuit results in
a node in the circuit being fixed at logic 0, stuck-at 0, or
fixed at logic 1, stuck-at 1. This fault may be in the logic
gate or macro itself, or some open circuit or short circuit in
Faults in Digital VLSI Circuits
(IJSRD/Vol. 2/Issue 07/2014/002)
All rights reserved by www.ijsrd.com 4
the interconnections such that the node can no longer switch
between 0 and 1. By expression which are as follows—
Fault at logic 0---stuck-at 0---s-a-0 And Fault at logic 1---
stuck-at-1---s-a-1
A stuck-at fault is a particular fault model used by
fault simulators and automatic test pattern generation
(ATPG) tools to mimic a manufacturing defect within an
integrated circuit (ICs). Individual signals and pins are
assumed to be stuck at Logical '1', '0' and 'X'. For example,
an output is tied to a logical 1 state during test generation to
assure that a manufacturing defect with that type of behavior
can be found with a specific test pattern. Likewise the output
could be tied to a logical 0 to model the behavior of a
defective circuit that cannot switch its output pin. Not all
faults can be analyzed using the stuck-at fault model.
Compensation for static hazards, namely branching signals,
can render a circuit untestable using this model. Also,
redundant circuits cannot be tested using this model, since
by design there is no change in any output as a result of a
single fault.
F. Bridging Faults
Unlike stuck-at faults, where a node is considered to be
stuck-at one or other logic value, bridging faults may result
in a node driven to 0 or 1 by the action at some other
node(s) . Since this depends upon the driving impedance of
the logic signals on the lines which are bridged, no precise
decisions can be made on what the effect of bridging faults
will be without some consideration of (i) the technology, (ii)
where the faults are in the circuit layout and (iii) how
extensive is the bridging. For example—In TTL, logic 0
signal dominate, in ECL, logic 1 signal dominate, and in
CMOS Intermediate logic used. When shorted nodes
individually have the same logic value (0 or 1) then the
circuit outputs will be correct and no fault can be observed.
G. The number of bridging faults between any two lines in
a circuit of n lines is: n(n-1)/2
Suppose if there are two nodes a andb, if action will be
taken on a (logic 1), then b should be at (logic 0). Similarly,
if no action will be taken on a (logic 0), then b should be at
(logic 1).
H. Intermittent Faults
Nonpermanent faults in a circuit orsystem are faults that
appear and disappear in a random way . Such faults are
known as Intermittent faults. Therefore no predetermined set
of tests which can be formulated to detect such
malfunctioning. It has been reported that a major portion of
digital system faults when in service are intermittent
(temporary or nonpermanent) faults, and that the
investigation of such faults accounts for more than 90% of
total maintenance expenditure.
Nonpermanent faults may be divided into two
categories, namely:
1) Transient Faults
which are nonrecurring faults generaly caused by some
extraneous influence such as cosmic radiation, power supply
surges or electromagnetic interference.
2) Intermittent Faults
Which are caused by some imperfection within the circuit or
system and which appear at random intervals.
I. Digital Test Pattern Generation
The final objective of testing is to prevent faulty circuits
from being assembled into equipment, or to detect circuits
which have developed faults subsequent to their
commitment of LSI/VLSI circuits, but apply equally to
digital systems which have comparable controllability and
observability limitations. The block diagram of Digital Test
Pattern Generation (DTPG) are shown in the fig.1.3-
There are two probabilities are first introduced,
which are –
The probability, when fault fi is present in the
circuit =PFi
The probability, when fault a fi which is active (Ai)
is present in the circuit =PAi
Then according to Fault Probability Distribution
theorem-
m
∑ PFi=1
i=1
Digital testing may be considered to have three
purpose, namely:
J. Fault Detection
To discover something wrong in a circuit or system, ideally
before it has caused any trouble.Fault detection is a
subfield of control system which concerns itself with
monitoring a system, identifying when a fault has occurred,
and pinpointing the type of fault and its location. Two
approaches can be distinguished: A direct pattern
recognition of sensor readings that indicate a fault and an
analysis of the discrepancy between the sensor readings and
expected values, derived from some model
K. Physical Fault Location
To location of the source of a fault within an integrated
circuit. In some cases (e.g., Printed Crcuit Board (PCB),
Multi Chip Module (MCMs), embedded or stand-alone
memories, it may be possible to repair a failing circuit under
test.
L. Component Fault Location
To location of a faulty component or connection within a
completed system. The connection fault location diagram
are shown in the fig.1.4 (a) and (b)-
Faults in Digital VLSI Circuits
(IJSRD/Vol. 2/Issue 07/2014/002)
All rights reserved by www.ijsrd.com 5
Early methods of fault simulation considered single
stuck-at faults one at a time. If there were f possible single
stuck-at faults to be considered, then f computer models of
the circuit under test were generated, each containing one
fault source and a count t, made of the number of faulty
circuits which were not detected by the proposed test set.
From this procedure a value for the fault coverage TC, of
the proposed test set was calculated using the equation:
TC = (f-t) / f * 100 %
Where,
TC =Test coverage or Fault Coverage
f = The possible single stuck at fault
t = The number of faulty circuits which were not detected by
proposed test set.
M. Roth’s D-algorithm
Routh’s D-algorithm forms the underlying concept of the
many practical ATPG programs.It sensitises all paths from
the site of a chosen fault to an observable output and uses
for 1000 gates.Its operate at individual gate level and
requires knowledge of all gates and their interconnection
topology.
The D-algorithm involves five logic states, namely:
0 = Normal logic zero
1 = Normal logic one
D =1 fault free condition
D =0 Fault chosen condition
X = an unassigned logic value, which can take any value 0,
1 or D
Thus D identifies a line or node which is 1 under
fault-free conditions and changes to 0 under the fault chosen
conditions.
II. CONCLUSION
It introduced the basic concept of controllability and observ
ability of nodes within a digital circuit, but has shown that
quantification of these two parameters does not have a great
practical significance, particularly for VLSI circuits.
Modelling the faults that can arise in digital circuits, with a
view to establishing tests which specifically detect whether
such faults are present or not, has a longer records of
success, the stuck-at fault model particularly significant.
III. ABBREVIATION
DTPG (Digital Test Pattern Generation)
SBTPG (Structurally Based Test Pattern Generation)
VLSI (Very Large Scale Integration)
MCM (Multi Chip Module)
ECL (Emitter Coupled Logic)
ATPG (Automatic Test Pattern Generation)
TTL (Transistor Transistor Logic)
REFERENCES
[1] Abramovici, M., Breuer, M.A., And Friedman :
Digital Systems Testing And Testable Design.
[2] Lala, P.K. Fault Tolerant And Fault Testable
Hardware Design.
[3] Wilkins, B.R. : Testing Digital Circuits An
Introduction.
[4] Fujiwara, H : Logic Testing And Design For
Testability.
[5] Miczo, A : Digital Logic Testing And Simulation.
[6] Pynn, C : Strategies For Electronic Test.
[7] Stevens, A.K. :Introduction To Component
Testing.
[8] Parker , K.P. : Integrating Design And Test: Using
Cae Tools.
[9] Yarmolik, V.N.: Fault Diagnosis Of Digital
Circuits.
[10]Bennetts,R.G.: Design Of Logic Circuits.
[11]Bateson, J.: In-Circuit Testing.
[12]Miller, D.M.: Developments In Integrated Circuit
Testing.
[13]Williams, T.W.: Vlsi Testing.
[14]Hurst, S.L.: Custom Vlsi Microelectronics.
[15]Di Giacomo, J.: Designing With High Performance
Asics.
[16]Mei, K.C.Y.: ‘ Bridging And Stuck-At Faults’

More Related Content

What's hot

VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Usha Mehta
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introductionUsha Mehta
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology TrendsUsha Mehta
 
Testing and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionTesting and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionUsha Mehta
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applicationselprocus
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swethaswethamg18
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITS
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITSSTUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITS
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITSMinh Anh Nguyen
 

What's hot (20)

Design for Testability
Design for Testability Design for Testability
Design for Testability
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Adder
Adder Adder
Adder
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Testing and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionTesting and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : Introduction
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Logic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and TestingLogic Simulation, Modeling, and Testing
Logic Simulation, Modeling, and Testing
 
SOC design
SOC design SOC design
SOC design
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Metastability
MetastabilityMetastability
Metastability
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITS
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITSSTUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITS
STUCK-OPEN FAULT ANALYSIS IN CMOS TRANSISTOR BASED COMBINATIONAL CIRCUITS
 

Similar to Faults in Digital VLSI Circuits

Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...ijcisjournal
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuitsswagatkarve
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...ijcisjournal
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE VLSICS Design
 
IRJET- Design and Development of Underground Cable Fault Detection and Locali...
IRJET- Design and Development of Underground Cable Fault Detection and Locali...IRJET- Design and Development of Underground Cable Fault Detection and Locali...
IRJET- Design and Development of Underground Cable Fault Detection and Locali...IRJET Journal
 
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...iosrjce
 
IRJET- Underground Cable Fault Distance Locator
IRJET- Underground Cable Fault Distance LocatorIRJET- Underground Cable Fault Distance Locator
IRJET- Underground Cable Fault Distance LocatorIRJET Journal
 
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...VLSICS Design
 
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...VLSICS Design
 
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...IRJET Journal
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Journals
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Publishing House
 
Underground Cable Fault Detection Using IOT
Underground Cable Fault Detection Using IOTUnderground Cable Fault Detection Using IOT
Underground Cable Fault Detection Using IOTIRJET Journal
 
IOT based Three Phase Power fault monitoring with SMS alerts
IOT based Three Phase Power fault monitoring with SMS alertsIOT based Three Phase Power fault monitoring with SMS alerts
IOT based Three Phase Power fault monitoring with SMS alertsIRJET Journal
 
Advanced railway security system (arss) based on zigbee communication for tra...
Advanced railway security system (arss) based on zigbee communication for tra...Advanced railway security system (arss) based on zigbee communication for tra...
Advanced railway security system (arss) based on zigbee communication for tra...rashmimabattin28
 
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...IJCI JOURNAL
 

Similar to Faults in Digital VLSI Circuits (20)

Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuits
 
Singh2017
Singh2017Singh2017
Singh2017
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
 
IRJET- Design and Development of Underground Cable Fault Detection and Locali...
IRJET- Design and Development of Underground Cable Fault Detection and Locali...IRJET- Design and Development of Underground Cable Fault Detection and Locali...
IRJET- Design and Development of Underground Cable Fault Detection and Locali...
 
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...
Fuzzy-Logic-Controller-Based Fault Isolation in PWM VSI for Vector Controlled...
 
IRJET- Underground Cable Fault Distance Locator
IRJET- Underground Cable Fault Distance LocatorIRJET- Underground Cable Fault Distance Locator
IRJET- Underground Cable Fault Distance Locator
 
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
 
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
A Fault Dictionary-Based Fault Diagnosis Approach for CMOS Analog Integrated ...
 
B010620715
B010620715B010620715
B010620715
 
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...
ANALYTICAL STUDY OF DIFFERENT TECHNIQUES FOR ISLANDING DETECTION OF PV GRID-T...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Underground Cable Fault Detection Using IOT
Underground Cable Fault Detection Using IOTUnderground Cable Fault Detection Using IOT
Underground Cable Fault Detection Using IOT
 
IOT based Three Phase Power fault monitoring with SMS alerts
IOT based Three Phase Power fault monitoring with SMS alertsIOT based Three Phase Power fault monitoring with SMS alerts
IOT based Three Phase Power fault monitoring with SMS alerts
 
Advanced railway security system (arss) based on zigbee communication for tra...
Advanced railway security system (arss) based on zigbee communication for tra...Advanced railway security system (arss) based on zigbee communication for tra...
Advanced railway security system (arss) based on zigbee communication for tra...
 
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
 

More from ijsrd.com

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Gridijsrd.com
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Thingsijsrd.com
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Lifeijsrd.com
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTijsrd.com
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...ijsrd.com
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...ijsrd.com
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Lifeijsrd.com
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learningijsrd.com
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation Systemijsrd.com
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...ijsrd.com
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigeratorijsrd.com
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...ijsrd.com
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingijsrd.com
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logsijsrd.com
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMijsrd.com
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Trackingijsrd.com
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...ijsrd.com
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparatorsijsrd.com
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.ijsrd.com
 

More from ijsrd.com (20)

IoT Enabled Smart Grid
IoT Enabled Smart GridIoT Enabled Smart Grid
IoT Enabled Smart Grid
 
A Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of ThingsA Survey Report on : Security & Challenges in Internet of Things
A Survey Report on : Security & Challenges in Internet of Things
 
IoT for Everyday Life
IoT for Everyday LifeIoT for Everyday Life
IoT for Everyday Life
 
Study on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOTStudy on Issues in Managing and Protecting Data of IOT
Study on Issues in Managing and Protecting Data of IOT
 
Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...Interactive Technologies for Improving Quality of Education to Build Collabor...
Interactive Technologies for Improving Quality of Education to Build Collabor...
 
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...Internet of Things - Paradigm Shift of Future Internet Application for Specia...
Internet of Things - Paradigm Shift of Future Internet Application for Specia...
 
A Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's LifeA Study of the Adverse Effects of IoT on Student's Life
A Study of the Adverse Effects of IoT on Student's Life
 
Pedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language LearningPedagogy for Effective use of ICT in English Language Learning
Pedagogy for Effective use of ICT in English Language Learning
 
Virtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation SystemVirtual Eye - Smart Traffic Navigation System
Virtual Eye - Smart Traffic Navigation System
 
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...Ontological Model of Educational Programs in Computer Science (Bachelor and M...
Ontological Model of Educational Programs in Computer Science (Bachelor and M...
 
Understanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart RefrigeratorUnderstanding IoT Management for Smart Refrigerator
Understanding IoT Management for Smart Refrigerator
 
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...
 
A Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processingA Review: Microwave Energy for materials processing
A Review: Microwave Energy for materials processing
 
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web LogsWeb Usage Mining: A Survey on User's Navigation Pattern from Web Logs
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logs
 
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMAPPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEM
 
Making model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point TrackingMaking model of dual axis solar tracking with Maximum Power Point Tracking
Making model of dual axis solar tracking with Maximum Power Point Tracking
 
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...
 
Study and Review on Various Current Comparators
Study and Review on Various Current ComparatorsStudy and Review on Various Current Comparators
Study and Review on Various Current Comparators
 
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
 
Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.Defending Reactive Jammers in WSN using a Trigger Identification Service.
Defending Reactive Jammers in WSN using a Trigger Identification Service.
 

Recently uploaded

“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 
Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...jaredbarbolino94
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Pharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfPharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfMahmoud M. Sallam
 
Capitol Tech U Doctoral Presentation - April 2024.pptx
Capitol Tech U Doctoral Presentation - April 2024.pptxCapitol Tech U Doctoral Presentation - April 2024.pptx
Capitol Tech U Doctoral Presentation - April 2024.pptxCapitolTechU
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementmkooblal
 
MARGINALIZATION (Different learners in Marginalized Group
MARGINALIZATION (Different learners in Marginalized GroupMARGINALIZATION (Different learners in Marginalized Group
MARGINALIZATION (Different learners in Marginalized GroupJonathanParaisoCruz
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...M56BOOKSTORE PRODUCT/SERVICE
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Celine George
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
Painted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaPainted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaVirag Sontakke
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatYousafMalik24
 

Recently uploaded (20)

“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 
Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...Historical philosophical, theoretical, and legal foundations of special and i...
Historical philosophical, theoretical, and legal foundations of special and i...
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Pharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfPharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdf
 
Capitol Tech U Doctoral Presentation - April 2024.pptx
Capitol Tech U Doctoral Presentation - April 2024.pptxCapitol Tech U Doctoral Presentation - April 2024.pptx
Capitol Tech U Doctoral Presentation - April 2024.pptx
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of management
 
MARGINALIZATION (Different learners in Marginalized Group
MARGINALIZATION (Different learners in Marginalized GroupMARGINALIZATION (Different learners in Marginalized Group
MARGINALIZATION (Different learners in Marginalized Group
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
ESSENTIAL of (CS/IT/IS) class 06 (database)
ESSENTIAL of (CS/IT/IS) class 06 (database)ESSENTIAL of (CS/IT/IS) class 06 (database)
ESSENTIAL of (CS/IT/IS) class 06 (database)
 
Painted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaPainted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of India
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice great
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 

Faults in Digital VLSI Circuits

  • 1. IJSRD - International Journal for Scientific Research & Development| Vol. 2, Issue 07, 2014 | ISSN (online): 2321-0613 All rights reserved by www.ijsrd.com 3 Faults in Digital VLSI Circuits Sandeep Kumar Ojha1 1 Assistant Professor 1 St. Andrew’s Institute of Technology & Management, Farrukh nagar, Gurgaon Abstract— In considering the techniques that may be used for digital circuit testing, two distinct philosophies may be found, First is Functional Testing, which undertake a series of functional tests and check for the correct (fault free) 0 or 1 output response. It does not consider how the circuit is designed, but only that it gives the correct output during test and second one is Fault Modelling in whichto consider the possible Faults that may occur within the circuit, and then to apply a series of tests which are specifically formulated to check whether each of these faults is present or not.The faults which are likely to occur on the wafer during the manufacture of the ICs, and compute the result on the circuit output(s) with or without each fault present. Each of the final series of tests is then designed to show that a particular fault is present or not. Key words: SBTPG, IC manufacturer, Digital circuit, Stuck- at faults, ATPG, PCB, MCM, ECL, TTL, CMOS I. INTRODUCTION The stuck-at faults, where a particular node in the circuit is always at logic 0 or at logic 1, and bridging faults, where adjacent nodes or tracks are considered to be shorted together. This is aided by the fact that a test for one potential fault will often also test for other faults, and the determination of a minimum test set to cover all the faults being modelled is a powerful objective.However in theory of digital circuit which passes all its fault modelling tests may still not be fully functional. A. Controllability and Observability Two terms need to be considered before discussing further aspects of digital circuit testing. These are controllability and observability. B. Controllability The basic concept of controllability is simple, it is measure of how easily a node in a digital circuit can be set to logic 0 or to logic 1 by signals applied to the accessible (primary) inputs. The controllability of circuits containing latches and flip-flops (sequential circuits) The block diagram of controllability isas shown in the fig.1.1 – C. Observability It is measure of how easily the state of a given node (logic 0 or logic 1) can be determined from the logic signals available at the accessible (primary) outputs. The block diagram of observability is as shown in the fig.1.2- Turning to observability, consider the simple circuit shown in the fig1.2. Suppose it is necessary to observe the logic value on node 2 . In order that this logic value propagates to the primary output Z , to give a different logic value at Z depending upon whether the node is logic 0 or logic 1 , it is clear that nodes 1 and 4 must be set to logic 1 and node 6 to logic 0. Hence primary signals must be chosen so that these conditions are present on nodes 1,4 and 6, in which case output Z will be solely dependent upon node 2. Node 2 will then be observable. These two terms were first introduced in the 1970’s in an attempt to quantify the ease (or difficulty) of testing a digital circuit, with the aim of bringing to the attention of the circuit designer during the design phase potentially difficult to test circuit arrangements so that circuit modifications could be considered. D. Structurally Based Test Pattern Generation The consideration of possible faults in a digital circuit is undertaken in order to establish a minimum set of test vectors which collectively will test that the faults are present or not. If none of the predefined faults are detected, then the circuit is considered to be fault free. This procedure is sometimes termed as structurally-based test pattern generation. When the test vectors are automatically generated from the circuit layout, its termed as automatic test pattern generation (ATPG). The faults in digital circuits which are usually considered two types, which are: E. Stuck-at faults The most common model that as been used for faults in digital circuits is the single stuck-at fault model. This assumes that any physical defect in a digital circuit results in a node in the circuit being fixed at logic 0, stuck-at 0, or fixed at logic 1, stuck-at 1. This fault may be in the logic gate or macro itself, or some open circuit or short circuit in
  • 2. Faults in Digital VLSI Circuits (IJSRD/Vol. 2/Issue 07/2014/002) All rights reserved by www.ijsrd.com 4 the interconnections such that the node can no longer switch between 0 and 1. By expression which are as follows— Fault at logic 0---stuck-at 0---s-a-0 And Fault at logic 1--- stuck-at-1---s-a-1 A stuck-at fault is a particular fault model used by fault simulators and automatic test pattern generation (ATPG) tools to mimic a manufacturing defect within an integrated circuit (ICs). Individual signals and pins are assumed to be stuck at Logical '1', '0' and 'X'. For example, an output is tied to a logical 1 state during test generation to assure that a manufacturing defect with that type of behavior can be found with a specific test pattern. Likewise the output could be tied to a logical 0 to model the behavior of a defective circuit that cannot switch its output pin. Not all faults can be analyzed using the stuck-at fault model. Compensation for static hazards, namely branching signals, can render a circuit untestable using this model. Also, redundant circuits cannot be tested using this model, since by design there is no change in any output as a result of a single fault. F. Bridging Faults Unlike stuck-at faults, where a node is considered to be stuck-at one or other logic value, bridging faults may result in a node driven to 0 or 1 by the action at some other node(s) . Since this depends upon the driving impedance of the logic signals on the lines which are bridged, no precise decisions can be made on what the effect of bridging faults will be without some consideration of (i) the technology, (ii) where the faults are in the circuit layout and (iii) how extensive is the bridging. For example—In TTL, logic 0 signal dominate, in ECL, logic 1 signal dominate, and in CMOS Intermediate logic used. When shorted nodes individually have the same logic value (0 or 1) then the circuit outputs will be correct and no fault can be observed. G. The number of bridging faults between any two lines in a circuit of n lines is: n(n-1)/2 Suppose if there are two nodes a andb, if action will be taken on a (logic 1), then b should be at (logic 0). Similarly, if no action will be taken on a (logic 0), then b should be at (logic 1). H. Intermittent Faults Nonpermanent faults in a circuit orsystem are faults that appear and disappear in a random way . Such faults are known as Intermittent faults. Therefore no predetermined set of tests which can be formulated to detect such malfunctioning. It has been reported that a major portion of digital system faults when in service are intermittent (temporary or nonpermanent) faults, and that the investigation of such faults accounts for more than 90% of total maintenance expenditure. Nonpermanent faults may be divided into two categories, namely: 1) Transient Faults which are nonrecurring faults generaly caused by some extraneous influence such as cosmic radiation, power supply surges or electromagnetic interference. 2) Intermittent Faults Which are caused by some imperfection within the circuit or system and which appear at random intervals. I. Digital Test Pattern Generation The final objective of testing is to prevent faulty circuits from being assembled into equipment, or to detect circuits which have developed faults subsequent to their commitment of LSI/VLSI circuits, but apply equally to digital systems which have comparable controllability and observability limitations. The block diagram of Digital Test Pattern Generation (DTPG) are shown in the fig.1.3- There are two probabilities are first introduced, which are – The probability, when fault fi is present in the circuit =PFi The probability, when fault a fi which is active (Ai) is present in the circuit =PAi Then according to Fault Probability Distribution theorem- m ∑ PFi=1 i=1 Digital testing may be considered to have three purpose, namely: J. Fault Detection To discover something wrong in a circuit or system, ideally before it has caused any trouble.Fault detection is a subfield of control system which concerns itself with monitoring a system, identifying when a fault has occurred, and pinpointing the type of fault and its location. Two approaches can be distinguished: A direct pattern recognition of sensor readings that indicate a fault and an analysis of the discrepancy between the sensor readings and expected values, derived from some model K. Physical Fault Location To location of the source of a fault within an integrated circuit. In some cases (e.g., Printed Crcuit Board (PCB), Multi Chip Module (MCMs), embedded or stand-alone memories, it may be possible to repair a failing circuit under test. L. Component Fault Location To location of a faulty component or connection within a completed system. The connection fault location diagram are shown in the fig.1.4 (a) and (b)-
  • 3. Faults in Digital VLSI Circuits (IJSRD/Vol. 2/Issue 07/2014/002) All rights reserved by www.ijsrd.com 5 Early methods of fault simulation considered single stuck-at faults one at a time. If there were f possible single stuck-at faults to be considered, then f computer models of the circuit under test were generated, each containing one fault source and a count t, made of the number of faulty circuits which were not detected by the proposed test set. From this procedure a value for the fault coverage TC, of the proposed test set was calculated using the equation: TC = (f-t) / f * 100 % Where, TC =Test coverage or Fault Coverage f = The possible single stuck at fault t = The number of faulty circuits which were not detected by proposed test set. M. Roth’s D-algorithm Routh’s D-algorithm forms the underlying concept of the many practical ATPG programs.It sensitises all paths from the site of a chosen fault to an observable output and uses for 1000 gates.Its operate at individual gate level and requires knowledge of all gates and their interconnection topology. The D-algorithm involves five logic states, namely: 0 = Normal logic zero 1 = Normal logic one D =1 fault free condition D =0 Fault chosen condition X = an unassigned logic value, which can take any value 0, 1 or D Thus D identifies a line or node which is 1 under fault-free conditions and changes to 0 under the fault chosen conditions. II. CONCLUSION It introduced the basic concept of controllability and observ ability of nodes within a digital circuit, but has shown that quantification of these two parameters does not have a great practical significance, particularly for VLSI circuits. Modelling the faults that can arise in digital circuits, with a view to establishing tests which specifically detect whether such faults are present or not, has a longer records of success, the stuck-at fault model particularly significant. III. ABBREVIATION DTPG (Digital Test Pattern Generation) SBTPG (Structurally Based Test Pattern Generation) VLSI (Very Large Scale Integration) MCM (Multi Chip Module) ECL (Emitter Coupled Logic) ATPG (Automatic Test Pattern Generation) TTL (Transistor Transistor Logic) REFERENCES [1] Abramovici, M., Breuer, M.A., And Friedman : Digital Systems Testing And Testable Design. [2] Lala, P.K. Fault Tolerant And Fault Testable Hardware Design. [3] Wilkins, B.R. : Testing Digital Circuits An Introduction. [4] Fujiwara, H : Logic Testing And Design For Testability. [5] Miczo, A : Digital Logic Testing And Simulation. [6] Pynn, C : Strategies For Electronic Test. [7] Stevens, A.K. :Introduction To Component Testing. [8] Parker , K.P. : Integrating Design And Test: Using Cae Tools. [9] Yarmolik, V.N.: Fault Diagnosis Of Digital Circuits. [10]Bennetts,R.G.: Design Of Logic Circuits. [11]Bateson, J.: In-Circuit Testing. [12]Miller, D.M.: Developments In Integrated Circuit Testing. [13]Williams, T.W.: Vlsi Testing. [14]Hurst, S.L.: Custom Vlsi Microelectronics. [15]Di Giacomo, J.: Designing With High Performance Asics. [16]Mei, K.C.Y.: ‘ Bridging And Stuck-At Faults’