SlideShare a Scribd company logo
1 of 4
Download to read offline
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 7, Issue 4 (Sep. - Oct. 2013), PP 14-17
www.iosrjournals.org
www.iosrjournals.org 14 | Page
VHDL Implementation Of 64-bit ALU
P Bhanusree1
, G Bhargav Sai2
, Y Ashwanth Kumar3
, K Sravan Kumar3
1. Assistant Professor, Department of Electronics and Instrumentation Engineering, Vignan Institute of
Technology & Science, Deshmukhi, Nalgonda Dist,- 508284, India.
2. Supply Chain Operation Trainee (SCOT), Hindustan Coca-Cola Beverages Pvt Ltd, Hyderabad, A.P, India
3. U.G Students, Department of Electronics and Instrumentation Engineering, Vignan Institute of Technology
& Science, Deshmukhi, Nalgonda Dist,- 508284, India.
Abstract: In this paper VHDL implementation of 64-bit arithmetic logic unit (ALU) is presented. The design
was implemented using VHDL Xilinx Synthesis tool ISE 9.1 and targeted for Spartan device. ALU was designed
to perform arithmetic operation and logical operations such as addition , subtraction using 64-bit fast adder,
logical operations such as AND, OR, XOR and NOT operations, 1’scomplement, rotate operations and
compare. ALU consist of two input registers to hold the data during operation, one output register to hold the
result of operation, 64-bit fast adder with 2’s complement circuit to perform subtraction and logic gates to
perform logical operation. The maximum propagation delay is 13.588ns and power dissipation is 38mW.
Keywords: ALU, Fast Adder, XILINX, VHDL
I. INTRODUCTION
Digital hardware plays a prominent role in many electrical and computer engineering products today.
This is principally due to the rapid increase in transistor densities and speed of integrated circuits and steep
decline in their cost caused by the advance in micro-electronic implementation technologies. This trend is
likely to continue in the foreseeable future. The "computer revolution" has affected every aspect of society and
many problems viewed as being intractable can now be solved. Modern digital design relies on engineering
groups made up of individuals that have an understanding of all aspects of the problem, from the top to
the bottom in the hierarchical chain, with expertise in one or two areas. Present industry practice has created a
high demand for systems designers with knowledge and experience in using programmable logic in the form
of CPLDs and FPGAs in addition to hardware description languages.
This paper entitles 64 bit ALU design using VHDL. For modern digital design, VHDL is one of the most
popular design applications used by designers. ALU’s in microprocessors are the important part of the field of
electronics engineering. This is a very interesting project because processors are not as flexible as
programmable logic. The ability to emulate alu on a programmable chip can lead to cheaper, more efficient and
more flexible performance.
II. ARITHMETIC AND LOGIC UNIT
ALU was designed to perform arithmetic and logical operations. Arithmetic operations performed are
64-bit addition, subtraction, multiplication, increment and decrement. Logical operations performed are AND,
OR, XOR, NOT, NAND, NOR, SHIFT LEFT, SHIFT RIGHT, ROTATE LEFT, ROTATE RIGHT. ALU also
calculates 1’s complement for the 64-bit input and compares the two inputs using 64-bit comparator. ALU also
consist of two input 64-bit registers to hold that data during operation and output register to hold result of
operation. Fig. 1 shows the entity for ALU.
Figure 1. Entity ALU
VHDL Implementation Of 64-bit ALU
www.iosrjournals.org 15 | Page
Arithmetic Operations –
2.1. Addition - The addition function may be implemented using a cascaded combination of simple full
adders.
Figure 2. 64-bit Cascaded Full Adder
Figure 3.
2.2.Subtraction- The subtraction is performed using adder module by noticing that a - b = a + (-b) where -b is
formed (in the 2’s complement representation) by inverting all of the b-bits and adding one to the lease
significant bit. The circuit for subtractor consists of an adder with inverters placed between each data input b
and the corresponding input of the full adder.The input carry C0 must be equal to 1 when subtraction is
performed. The result of subtraction will also be obtained in two’s complement’s form.
2.3.Multiplication - The multiplication is done through repeated additions, wherein the sum of all partial
products are taken. The partial products depend on the multiplier bit being considered (partial product equals
multiplicand if multiplier bit is 1 and partial product equals 0 if multippie bit is zero). Several schemes are
available for implementing this algorithm out of which a refined version is chosen and implemented.
Figure 4. Multiplication Algorithm
2.4.Comparator - 64-bitcomparator compares the two inputs and generates the high signal for A greater than B,
A equal to B and A less than B.
Logical Operations –
64-bit logical operations were performed on the data bitwise. This block simply consists of parallel gates
connected to perform desired operation. Finally the output of the desired operation is selected by select lines.
Table -1 shows the status of select lines and operations performed by ALU.
TABLE -1 OPERATIONS PERFORMED CORRESPONDING TO SELECTION LINES OF MUX
FUNCTION SELECTION LINES OPERATION
S3 S2 S1 S0
INC 0 0 0 0 A+1
ADC 0 0 0 1 A+B+Cin
SBB 0 0 1 0 A-B-Cin
DEC 0 0 1 1 A-1
VHDL Implementation Of 64-bit ALU
www.iosrjournals.org 16 | Page
AND 0 1 0 0 A and B
OR 0 1 0 1 A or B
XOR 0 1 1 0 A xor B
NOT 0 1 1 1 Not A
SHR 1 0 0 0 Shr A
SHL 1 0 0 1 Shr A
ROR 1 0 1 0 Ror A
ROL 1 0 1 1 Rol A
MUL 1 1 0 0 A*B
A>B/A=B/A<B 1 1 0 1 COMPARATOR
NAND 1 1 1 0 A nand B
NOR 1 1 1 1 A nor B
Figure 5. Simulation Results
III. RESULT
VHDL implementation of the ALU was done using Xilinx Synthesis tool 9.2 and targeted for Spartan
device. Detail synthesis report is presented in table-2. Fig. 4 shows the simulation results of ALU.
TABLE-2 ADVANCED HDL SYNTHESIS REPORT
Sno Blocks Utilized
1 Adders/Subtractors 3
64-bit adder carry in 1
64-bit subtractor 1
65-bit adder carry in 1
2 Registers 3
Flip-Flops 3
3 Latches 7
1-bit latch 3
64-bit latch 1
64-bit latch 1
65-bit latch 1
65-bit latch 1
4 Comparators 6
64-bit comparator equal 2
64-bit comparator great equal 1
64-bit comparator greater 1
64-bit comparator less equal 1
64-bit comparator not equal 1
5 Xors 4035
1-bit xor2 66
1-bit xor3 3968
64-bit xor2 1
VHDL Implementation Of 64-bit ALU
www.iosrjournals.org 17 | Page
IV. Conclusion
The basic structure, and design procedure of VHDL are studied. Behavioral modeling and structural
modeling are used for the implementation of 64 bit ALU. The design considerations of an ALU are also studied.
Logical operations are bit by bit operations and are implemented using simple gates which operate independent
of each other. All the mathematical operations in the ALU are performed by means of repeated additions. Along
with the basic operations of ALU multiplication and comparison are incorporated and designed as a single unit.
The design consists of three modules whose outputs are combined using a multiplexer at the top most level. The
project is designed and implemented using VHDL and is simulated using Xilinx9.2i ISE.
References
[1] Suchitha Kamble, Prof. N.N. Mhala, “VHDL IMPLEMENTATION OF ALU”, IOSRJECE, VOL.1, ISSUE 1(May-June 2012).
ISSN: 2278-2834.
[2] M.Linder,M.Schmid, “PROCESSOR IMPLEMENTATION IN VHDL”,PROJECT REPORT-2007,UNIVERSITY OF ULSTER
AT JORDANSTOWN.
[3] Muhammad Aizuddin Bin Che Soh, “DESIGN OF 8 BIT CPU IMPLEMENTED ON FPGA”, PROJECT REPORT-April 2007,
Universiti Teknikal Malaysia Melaka.
[4] David A. Patterson and John L.Henessy, “COMPUTER ORGANISATION & DESIGN”

More Related Content

What's hot

ECE_467_Final_Project_Report
ECE_467_Final_Project_ReportECE_467_Final_Project_Report
ECE_467_Final_Project_ReportSidharth Kumar
 
Implementation of 32 Bit RISC Processor using Reversible Gates
Implementation of 32 Bit RISC Processor using Reversible GatesImplementation of 32 Bit RISC Processor using Reversible Gates
Implementation of 32 Bit RISC Processor using Reversible Gatesijtsrd
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013Vision Solutions
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...VLSICS Design
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...IJMER
 
Computer organisation report
Computer organisation reportComputer organisation report
Computer organisation reportRajat Shukla
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...gerogepatton
 
Iaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd Iaetsd
 
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...IRJET Journal
 
Convolution final slides
Convolution final slidesConvolution final slides
Convolution final slidesramyasree_ssj
 
Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects E2MATRIX
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICijtsrd
 

What's hot (20)

ECE_467_Final_Project_Report
ECE_467_Final_Project_ReportECE_467_Final_Project_Report
ECE_467_Final_Project_Report
 
Nikita Resume
Nikita ResumeNikita Resume
Nikita Resume
 
Implementation of 32 Bit RISC Processor using Reversible Gates
Implementation of 32 Bit RISC Processor using Reversible GatesImplementation of 32 Bit RISC Processor using Reversible Gates
Implementation of 32 Bit RISC Processor using Reversible Gates
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
Design of low power cmos logic circuits using gate diffusion input (gdi) tech...
 
EDA
EDAEDA
EDA
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
Computer organisation report
Computer organisation reportComputer organisation report
Computer organisation report
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
 
Vlsi design-styles
Vlsi design-stylesVlsi design-styles
Vlsi design-styles
 
Iaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding andIaetsd a design of fpga with ledr encoding and
Iaetsd a design of fpga with ledr encoding and
 
Resume
ResumeResume
Resume
 
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...IRJET -  	  Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
IRJET - Design of RISC-V Bit Manipulation Instruction IP using Bluespec S...
 
Lecture VLSI
Lecture VLSILecture VLSI
Lecture VLSI
 
Convolution final slides
Convolution final slidesConvolution final slides
Convolution final slides
 
DSP Processors versus ASICs
DSP Processors versus ASICsDSP Processors versus ASICs
DSP Processors versus ASICs
 
Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 

Viewers also liked

Analysis and Design of S-shaped Microstrip Patch Antenna
Analysis and Design of S-shaped Microstrip Patch AntennaAnalysis and Design of S-shaped Microstrip Patch Antenna
Analysis and Design of S-shaped Microstrip Patch AntennaIOSR Journals
 
GMR Materials: A New Generation of Miniaturizated Technology
GMR Materials: A New Generation of Miniaturizated TechnologyGMR Materials: A New Generation of Miniaturizated Technology
GMR Materials: A New Generation of Miniaturizated TechnologyIOSR Journals
 
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...IOSR Journals
 
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...IOSR Journals
 
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...IOSR Journals
 
The Trend Analysis of Oil Revenue and Oil Export in Nigeria
The Trend Analysis of Oil Revenue and Oil Export in NigeriaThe Trend Analysis of Oil Revenue and Oil Export in Nigeria
The Trend Analysis of Oil Revenue and Oil Export in NigeriaIOSR Journals
 
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)IOSR Journals
 
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...IOSR Journals
 
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...IOSR Journals
 
Design of Conformal Helical Antenna with Selective Bands
Design of Conformal Helical Antenna with Selective BandsDesign of Conformal Helical Antenna with Selective Bands
Design of Conformal Helical Antenna with Selective BandsIOSR Journals
 
Distortion Analysis of Differential Amplifier
Distortion Analysis of Differential AmplifierDistortion Analysis of Differential Amplifier
Distortion Analysis of Differential AmplifierIOSR Journals
 
Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix AddersDesign of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix AddersIOSR Journals
 
Action Trajectory Reconstruction for Controlling of Vehicle Using Sensors
Action Trajectory Reconstruction for Controlling of Vehicle Using SensorsAction Trajectory Reconstruction for Controlling of Vehicle Using Sensors
Action Trajectory Reconstruction for Controlling of Vehicle Using SensorsIOSR Journals
 
Vessels Extraction from Retinal Images
Vessels Extraction from Retinal ImagesVessels Extraction from Retinal Images
Vessels Extraction from Retinal ImagesIOSR Journals
 
Survey of Reactive Routing Protocols for MANET
Survey of Reactive Routing Protocols for MANETSurvey of Reactive Routing Protocols for MANET
Survey of Reactive Routing Protocols for MANETIOSR Journals
 
Comparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsComparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsIOSR Journals
 
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...IOSR Journals
 
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...IOSR Journals
 
Imparting the skills in the young minds- Employability skills and armor to wi...
Imparting the skills in the young minds- Employability skills and armor to wi...Imparting the skills in the young minds- Employability skills and armor to wi...
Imparting the skills in the young minds- Employability skills and armor to wi...IOSR Journals
 

Viewers also liked (20)

Analysis and Design of S-shaped Microstrip Patch Antenna
Analysis and Design of S-shaped Microstrip Patch AntennaAnalysis and Design of S-shaped Microstrip Patch Antenna
Analysis and Design of S-shaped Microstrip Patch Antenna
 
GMR Materials: A New Generation of Miniaturizated Technology
GMR Materials: A New Generation of Miniaturizated TechnologyGMR Materials: A New Generation of Miniaturizated Technology
GMR Materials: A New Generation of Miniaturizated Technology
 
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...
Development of Emulsion Paint from Trimethylol Urea/Polystyrene waste Copolym...
 
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...
Delineation of Hydrocarbon Bearing Reservoirs from Surface Seismic and Well L...
 
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...
Users’ Perceptions, Attitudes and Continuance Intentions of Facebook: Insight...
 
The Trend Analysis of Oil Revenue and Oil Export in Nigeria
The Trend Analysis of Oil Revenue and Oil Export in NigeriaThe Trend Analysis of Oil Revenue and Oil Export in Nigeria
The Trend Analysis of Oil Revenue and Oil Export in Nigeria
 
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)
The Impact of Trade and Debt on Nigeria Agribusiness Sector Output (1970-2010)
 
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...
A Study to Explore the Effects of Varied Tempo music on Brisk Walking Perform...
 
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...
The Relationship Between Gender And Ethnicity Upon Hofstede’s Cultural Dimens...
 
Design of Conformal Helical Antenna with Selective Bands
Design of Conformal Helical Antenna with Selective BandsDesign of Conformal Helical Antenna with Selective Bands
Design of Conformal Helical Antenna with Selective Bands
 
Distortion Analysis of Differential Amplifier
Distortion Analysis of Differential AmplifierDistortion Analysis of Differential Amplifier
Distortion Analysis of Differential Amplifier
 
Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix AddersDesign of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders
 
Action Trajectory Reconstruction for Controlling of Vehicle Using Sensors
Action Trajectory Reconstruction for Controlling of Vehicle Using SensorsAction Trajectory Reconstruction for Controlling of Vehicle Using Sensors
Action Trajectory Reconstruction for Controlling of Vehicle Using Sensors
 
Vessels Extraction from Retinal Images
Vessels Extraction from Retinal ImagesVessels Extraction from Retinal Images
Vessels Extraction from Retinal Images
 
Survey of Reactive Routing Protocols for MANET
Survey of Reactive Routing Protocols for MANETSurvey of Reactive Routing Protocols for MANET
Survey of Reactive Routing Protocols for MANET
 
Comparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM SystemsComparison of PMD Compensation in WDM Systems
Comparison of PMD Compensation in WDM Systems
 
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...
A Study on Concrete Using Bottom Ash, Manufacturing Sand and Hybrid Steel and...
 
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...
Synthesis, Spectroscopic study & Biological Activity Of Some Organotin(Iv) De...
 
D01212632
D01212632D01212632
D01212632
 
Imparting the skills in the young minds- Employability skills and armor to wi...
Imparting the skills in the young minds- Employability skills and armor to wi...Imparting the skills in the young minds- Employability skills and armor to wi...
Imparting the skills in the young minds- Employability skills and armor to wi...
 

Similar to VHDL Implementation Of 64-bit ALU

Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...eSAT Journals
 
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISEBehavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISEIOSR Journals
 
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...IRJET Journal
 
A Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaA Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaIJERA Editor
 
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...IRJET Journal
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfssuser1e1bab
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...iosrjce
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSIRJET Journal
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unitrahulmonikasharma
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...IJERA Editor
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520IJRAT
 
FPGA Based Digital Logic Circuits Operation for Beginners
FPGA Based Digital Logic Circuits Operation for BeginnersFPGA Based Digital Logic Circuits Operation for Beginners
FPGA Based Digital Logic Circuits Operation for Beginnersijtsrd
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollereSAT Publishing House
 
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGAIRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGAIRJET Journal
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIOSRJVSP
 

Similar to VHDL Implementation Of 64-bit ALU (20)

Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
 
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISEBehavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
 
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
 
A Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaA Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on Fpga
 
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
 
bds project final documentation
bds project final documentation bds project final documentation
bds project final documentation
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520
 
FPGA Based Digital Logic Circuits Operation for Beginners
FPGA Based Digital Logic Circuits Operation for BeginnersFPGA Based Digital Logic Circuits Operation for Beginners
FPGA Based Digital Logic Circuits Operation for Beginners
 
Fpga implementation of a functional microcontroller
Fpga implementation of a functional microcontrollerFpga implementation of a functional microcontroller
Fpga implementation of a functional microcontroller
 
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGAIRJET-  	  To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
IRJET- To Design 16 bit Synchronous Microprocessor using VHDL on FPGA
 
Bds lab 4
Bds lab 4Bds lab 4
Bds lab 4
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
 
Bv4301417422
Bv4301417422Bv4301417422
Bv4301417422
 

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...RajaP95
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 

Recently uploaded (20)

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 

VHDL Implementation Of 64-bit ALU

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 7, Issue 4 (Sep. - Oct. 2013), PP 14-17 www.iosrjournals.org www.iosrjournals.org 14 | Page VHDL Implementation Of 64-bit ALU P Bhanusree1 , G Bhargav Sai2 , Y Ashwanth Kumar3 , K Sravan Kumar3 1. Assistant Professor, Department of Electronics and Instrumentation Engineering, Vignan Institute of Technology & Science, Deshmukhi, Nalgonda Dist,- 508284, India. 2. Supply Chain Operation Trainee (SCOT), Hindustan Coca-Cola Beverages Pvt Ltd, Hyderabad, A.P, India 3. U.G Students, Department of Electronics and Instrumentation Engineering, Vignan Institute of Technology & Science, Deshmukhi, Nalgonda Dist,- 508284, India. Abstract: In this paper VHDL implementation of 64-bit arithmetic logic unit (ALU) is presented. The design was implemented using VHDL Xilinx Synthesis tool ISE 9.1 and targeted for Spartan device. ALU was designed to perform arithmetic operation and logical operations such as addition , subtraction using 64-bit fast adder, logical operations such as AND, OR, XOR and NOT operations, 1’scomplement, rotate operations and compare. ALU consist of two input registers to hold the data during operation, one output register to hold the result of operation, 64-bit fast adder with 2’s complement circuit to perform subtraction and logic gates to perform logical operation. The maximum propagation delay is 13.588ns and power dissipation is 38mW. Keywords: ALU, Fast Adder, XILINX, VHDL I. INTRODUCTION Digital hardware plays a prominent role in many electrical and computer engineering products today. This is principally due to the rapid increase in transistor densities and speed of integrated circuits and steep decline in their cost caused by the advance in micro-electronic implementation technologies. This trend is likely to continue in the foreseeable future. The "computer revolution" has affected every aspect of society and many problems viewed as being intractable can now be solved. Modern digital design relies on engineering groups made up of individuals that have an understanding of all aspects of the problem, from the top to the bottom in the hierarchical chain, with expertise in one or two areas. Present industry practice has created a high demand for systems designers with knowledge and experience in using programmable logic in the form of CPLDs and FPGAs in addition to hardware description languages. This paper entitles 64 bit ALU design using VHDL. For modern digital design, VHDL is one of the most popular design applications used by designers. ALU’s in microprocessors are the important part of the field of electronics engineering. This is a very interesting project because processors are not as flexible as programmable logic. The ability to emulate alu on a programmable chip can lead to cheaper, more efficient and more flexible performance. II. ARITHMETIC AND LOGIC UNIT ALU was designed to perform arithmetic and logical operations. Arithmetic operations performed are 64-bit addition, subtraction, multiplication, increment and decrement. Logical operations performed are AND, OR, XOR, NOT, NAND, NOR, SHIFT LEFT, SHIFT RIGHT, ROTATE LEFT, ROTATE RIGHT. ALU also calculates 1’s complement for the 64-bit input and compares the two inputs using 64-bit comparator. ALU also consist of two input 64-bit registers to hold that data during operation and output register to hold result of operation. Fig. 1 shows the entity for ALU. Figure 1. Entity ALU
  • 2. VHDL Implementation Of 64-bit ALU www.iosrjournals.org 15 | Page Arithmetic Operations – 2.1. Addition - The addition function may be implemented using a cascaded combination of simple full adders. Figure 2. 64-bit Cascaded Full Adder Figure 3. 2.2.Subtraction- The subtraction is performed using adder module by noticing that a - b = a + (-b) where -b is formed (in the 2’s complement representation) by inverting all of the b-bits and adding one to the lease significant bit. The circuit for subtractor consists of an adder with inverters placed between each data input b and the corresponding input of the full adder.The input carry C0 must be equal to 1 when subtraction is performed. The result of subtraction will also be obtained in two’s complement’s form. 2.3.Multiplication - The multiplication is done through repeated additions, wherein the sum of all partial products are taken. The partial products depend on the multiplier bit being considered (partial product equals multiplicand if multiplier bit is 1 and partial product equals 0 if multippie bit is zero). Several schemes are available for implementing this algorithm out of which a refined version is chosen and implemented. Figure 4. Multiplication Algorithm 2.4.Comparator - 64-bitcomparator compares the two inputs and generates the high signal for A greater than B, A equal to B and A less than B. Logical Operations – 64-bit logical operations were performed on the data bitwise. This block simply consists of parallel gates connected to perform desired operation. Finally the output of the desired operation is selected by select lines. Table -1 shows the status of select lines and operations performed by ALU. TABLE -1 OPERATIONS PERFORMED CORRESPONDING TO SELECTION LINES OF MUX FUNCTION SELECTION LINES OPERATION S3 S2 S1 S0 INC 0 0 0 0 A+1 ADC 0 0 0 1 A+B+Cin SBB 0 0 1 0 A-B-Cin DEC 0 0 1 1 A-1
  • 3. VHDL Implementation Of 64-bit ALU www.iosrjournals.org 16 | Page AND 0 1 0 0 A and B OR 0 1 0 1 A or B XOR 0 1 1 0 A xor B NOT 0 1 1 1 Not A SHR 1 0 0 0 Shr A SHL 1 0 0 1 Shr A ROR 1 0 1 0 Ror A ROL 1 0 1 1 Rol A MUL 1 1 0 0 A*B A>B/A=B/A<B 1 1 0 1 COMPARATOR NAND 1 1 1 0 A nand B NOR 1 1 1 1 A nor B Figure 5. Simulation Results III. RESULT VHDL implementation of the ALU was done using Xilinx Synthesis tool 9.2 and targeted for Spartan device. Detail synthesis report is presented in table-2. Fig. 4 shows the simulation results of ALU. TABLE-2 ADVANCED HDL SYNTHESIS REPORT Sno Blocks Utilized 1 Adders/Subtractors 3 64-bit adder carry in 1 64-bit subtractor 1 65-bit adder carry in 1 2 Registers 3 Flip-Flops 3 3 Latches 7 1-bit latch 3 64-bit latch 1 64-bit latch 1 65-bit latch 1 65-bit latch 1 4 Comparators 6 64-bit comparator equal 2 64-bit comparator great equal 1 64-bit comparator greater 1 64-bit comparator less equal 1 64-bit comparator not equal 1 5 Xors 4035 1-bit xor2 66 1-bit xor3 3968 64-bit xor2 1
  • 4. VHDL Implementation Of 64-bit ALU www.iosrjournals.org 17 | Page IV. Conclusion The basic structure, and design procedure of VHDL are studied. Behavioral modeling and structural modeling are used for the implementation of 64 bit ALU. The design considerations of an ALU are also studied. Logical operations are bit by bit operations and are implemented using simple gates which operate independent of each other. All the mathematical operations in the ALU are performed by means of repeated additions. Along with the basic operations of ALU multiplication and comparison are incorporated and designed as a single unit. The design consists of three modules whose outputs are combined using a multiplexer at the top most level. The project is designed and implemented using VHDL and is simulated using Xilinx9.2i ISE. References [1] Suchitha Kamble, Prof. N.N. Mhala, “VHDL IMPLEMENTATION OF ALU”, IOSRJECE, VOL.1, ISSUE 1(May-June 2012). ISSN: 2278-2834. [2] M.Linder,M.Schmid, “PROCESSOR IMPLEMENTATION IN VHDL”,PROJECT REPORT-2007,UNIVERSITY OF ULSTER AT JORDANSTOWN. [3] Muhammad Aizuddin Bin Che Soh, “DESIGN OF 8 BIT CPU IMPLEMENTED ON FPGA”, PROJECT REPORT-April 2007, Universiti Teknikal Malaysia Melaka. [4] David A. Patterson and John L.Henessy, “COMPUTER ORGANISATION & DESIGN”