SlideShare a Scribd company logo
1 of 11
Download to read offline
Communication protocol 
iinn oorr bbeettwweeeenn 
CCoommppuuttiinngg ssyysstteemmss 
TTeelleeccoommmmuunniiccaattiioonnss 
FFoorrmmaattss ooff ddiiggiittaall mmeessssaaggeess 
Rules for exchanging 
those messages 
Capabilities Describes 
Signaling 
Authentication 
Error detection and correction 
Syntax 
Semantics 
Synchronization
Interface 
IInntteerraaccttiioonn bbeettwweeeenn 
Components 
Devices 
Systems 
Programs 
Computer vs user 
… 
AAtt 22 lleevveellss 
SSooffttwwaarree 
HHaarrddwwaarree 
Pieces of Software 
Pieces of Hardware 
II//OO SSyysstteemm 
Pieces of Software 
Pieces of Hardware 
PPrroottooccooll 
IInntteerrffaaccee
Example: RS-232 
MCUs + 
Voltage 
Level 
shifter 
TTXX TTXX 
RS-232 
cable 
PPCCss 
RRXX RRXX 
Interface: 3-wire: Rx & Tx wires + GND wire 
5-wire: Rx, Tx, RTS, DTS + GND 
Protocol: 
- Voltage level: Logic ‘1’ as -3  -15V 
Logic ‘0’ as +3  +15V 
- Frame: Start bit 
5  8 Data bit 
None/Odd/Even Parity bit 
1 or 2 Stop bit 
- Baudrate: 9600, 11200, …
communications system 
OSI model 
Open Systems Interconnection model
communications system 
OSI model 
Open Systems Interconnection model 
Layer 1: Physical Layer 
Defines the electrical and physical specifications for devices 
RRSS--223322 
RRSS--448855 
SSPPII 
II22CC 
Physical Layer
A serial I/O 
communications 
peripheral 
Serial 
Communications 
Interface (SCI) 
Full-duplex 
Asynchronous 
Clock generators 
Half-duplex 
Synchronous 
peripheral systems 
(CRT Terminals, 
personal computers) 
peripheral devices 
Shift registers 
Data buffers 
(A/D or D/A integrated 
circuits, serial EEPROMs, 
other microcontrollers) 
typically do not have 
internal clocks for 
baud rate generation 
and require the 
external clock signal 
provided by a master 
synchronous device 
both send and receive at 
the same time 
devices take turns 
transmitting and receiving
UART universal asynchronous receiver/transmitter 
DUART A dual UART combines two UARTs into a single chip 
USART universal synchronous/asynchronous receiver/transmitter
[1] http://www.freebsd.org/doc/en/articles/serial-uart/ 
[2] http://en.wikipedia.org/wiki/Universal_asynchronous_receiver/transmitter 
[3] Microchip, PIC16F887 datasheet, part 12.0 p[153-178] 
[4] http://en.wikipedia.org/wiki/Communications_protocol 
[5] http://en.wikipedia.org/wiki/OSI_model
Chuan giao tiep rs232

More Related Content

What's hot

UART Communication
UART CommunicationUART Communication
UART Communicationdattatraya1
 
Bhabha atomic research Centre (BARC)
Bhabha atomic research Centre (BARC)Bhabha atomic research Centre (BARC)
Bhabha atomic research Centre (BARC)Utkarsh Tiwari
 
Mridul_Verma_Intern_Tech_Adityaa_UART
Mridul_Verma_Intern_Tech_Adityaa_UARTMridul_Verma_Intern_Tech_Adityaa_UART
Mridul_Verma_Intern_Tech_Adityaa_UARTMridul Verma
 
Hands On Data Communications, Networking and TCP/IP Troubleshooting
Hands On Data Communications, Networking and TCP/IP TroubleshootingHands On Data Communications, Networking and TCP/IP Troubleshooting
Hands On Data Communications, Networking and TCP/IP TroubleshootingLiving Online
 
Asi : Actuator Sensor Interface
Asi : Actuator Sensor InterfaceAsi : Actuator Sensor Interface
Asi : Actuator Sensor Interfaceprashob7
 
Embedded systems and robotics by scmandota
Embedded systems and robotics by scmandotaEmbedded systems and robotics by scmandota
Embedded systems and robotics by scmandotascmandota
 
Communication Interface of The Embedded Systems
Communication Interface of The Embedded Systems Communication Interface of The Embedded Systems
Communication Interface of The Embedded Systems VijayKumar5738
 
Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3 Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3 Premier Farnell
 
Up and running with Arduino
Up and running with Arduino Up and running with Arduino
Up and running with Arduino KUET
 
Verification of uart ip core using uvm
Verification of uart ip core using uvmVerification of uart ip core using uvm
Verification of uart ip core using uvmeSAT Publishing House
 
Imx53 uart- GUIDE BOOK
Imx53 uart- GUIDE BOOKImx53 uart- GUIDE BOOK
Imx53 uart- GUIDE BOOKShahrukh Javed
 
Datasheet stvc070 wt 03
Datasheet stvc070 wt 03Datasheet stvc070 wt 03
Datasheet stvc070 wt 03Display module
 
Serial Communication Uart soc
Serial Communication  Uart socSerial Communication  Uart soc
Serial Communication Uart socSatyam Sharma
 

What's hot (19)

Uart
UartUart
Uart
 
UART Communication
UART CommunicationUART Communication
UART Communication
 
Bhabha atomic research Centre (BARC)
Bhabha atomic research Centre (BARC)Bhabha atomic research Centre (BARC)
Bhabha atomic research Centre (BARC)
 
Mridul_Verma_Intern_Tech_Adityaa_UART
Mridul_Verma_Intern_Tech_Adityaa_UARTMridul_Verma_Intern_Tech_Adityaa_UART
Mridul_Verma_Intern_Tech_Adityaa_UART
 
8 bit microcontroller
8 bit microcontroller8 bit microcontroller
8 bit microcontroller
 
I2C
I2CI2C
I2C
 
self-test
self-testself-test
self-test
 
Hands On Data Communications, Networking and TCP/IP Troubleshooting
Hands On Data Communications, Networking and TCP/IP TroubleshootingHands On Data Communications, Networking and TCP/IP Troubleshooting
Hands On Data Communications, Networking and TCP/IP Troubleshooting
 
Asi : Actuator Sensor Interface
Asi : Actuator Sensor InterfaceAsi : Actuator Sensor Interface
Asi : Actuator Sensor Interface
 
self-test - 2
self-test - 2self-test - 2
self-test - 2
 
Embedded systems and robotics by scmandota
Embedded systems and robotics by scmandotaEmbedded systems and robotics by scmandota
Embedded systems and robotics by scmandota
 
Communication Interface of The Embedded Systems
Communication Interface of The Embedded Systems Communication Interface of The Embedded Systems
Communication Interface of The Embedded Systems
 
Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3 Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3
 
Up and running with Arduino
Up and running with Arduino Up and running with Arduino
Up and running with Arduino
 
Uart
UartUart
Uart
 
Verification of uart ip core using uvm
Verification of uart ip core using uvmVerification of uart ip core using uvm
Verification of uart ip core using uvm
 
Imx53 uart- GUIDE BOOK
Imx53 uart- GUIDE BOOKImx53 uart- GUIDE BOOK
Imx53 uart- GUIDE BOOK
 
Datasheet stvc070 wt 03
Datasheet stvc070 wt 03Datasheet stvc070 wt 03
Datasheet stvc070 wt 03
 
Serial Communication Uart soc
Serial Communication  Uart socSerial Communication  Uart soc
Serial Communication Uart soc
 

Similar to Chuan giao tiep rs232

RFID Based Toll Gate System
RFID Based Toll Gate SystemRFID Based Toll Gate System
RFID Based Toll Gate SystemAmeer Khan
 
EXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptxEXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptxNaveenK365392
 
Basic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesBasic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesPremier Farnell
 
Design and Implementation of a Stand-Alone Remote Terminal Unit
Design and Implementation of a Stand-Alone Remote Terminal UnitDesign and Implementation of a Stand-Alone Remote Terminal Unit
Design and Implementation of a Stand-Alone Remote Terminal UnitIOSR Journals
 
Course material msp430-for_automatic_control
Course material msp430-for_automatic_controlCourse material msp430-for_automatic_control
Course material msp430-for_automatic_controlBhukya Mangu
 
Glossary of Technical Terms for Process Weighing
Glossary of Technical Terms for Process WeighingGlossary of Technical Terms for Process Weighing
Glossary of Technical Terms for Process WeighingPower Specialties, Inc.
 
Gesture based vehicle movements control and alerting system docu
Gesture based vehicle movements control and alerting system docuGesture based vehicle movements control and alerting system docu
Gesture based vehicle movements control and alerting system docuVignan Munna
 
DCS ( Distributed Control System )
DCS ( Distributed Control System )DCS ( Distributed Control System )
DCS ( Distributed Control System )Automation123
 
Serial Communication Interface with Error Detection
Serial Communication Interface with Error DetectionSerial Communication Interface with Error Detection
Serial Communication Interface with Error Detectioniosrjce
 
Embedded systems presentation
Embedded systems presentationEmbedded systems presentation
Embedded systems presentationSurender Singh
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...researchinventy
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Scienceresearchinventy
 
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...Abhijeet Powar
 

Similar to Chuan giao tiep rs232 (20)

RFID Based Toll Gate System
RFID Based Toll Gate SystemRFID Based Toll Gate System
RFID Based Toll Gate System
 
EXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptxEXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptx
 
6 STM32's USART.ppt
6 STM32's USART.ppt6 STM32's USART.ppt
6 STM32's USART.ppt
 
Basic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesBasic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth Devices
 
Design and Implementation of a Stand-Alone Remote Terminal Unit
Design and Implementation of a Stand-Alone Remote Terminal UnitDesign and Implementation of a Stand-Alone Remote Terminal Unit
Design and Implementation of a Stand-Alone Remote Terminal Unit
 
Course material msp430-for_automatic_control
Course material msp430-for_automatic_controlCourse material msp430-for_automatic_control
Course material msp430-for_automatic_control
 
Glossary of Technical Terms for Process Weighing
Glossary of Technical Terms for Process WeighingGlossary of Technical Terms for Process Weighing
Glossary of Technical Terms for Process Weighing
 
Scada
ScadaScada
Scada
 
Industrialtraining
IndustrialtrainingIndustrialtraining
Industrialtraining
 
Gesture based vehicle movements control and alerting system docu
Gesture based vehicle movements control and alerting system docuGesture based vehicle movements control and alerting system docu
Gesture based vehicle movements control and alerting system docu
 
DCS ( Distributed Control System )
DCS ( Distributed Control System )DCS ( Distributed Control System )
DCS ( Distributed Control System )
 
Dcs write up
Dcs write upDcs write up
Dcs write up
 
Serial Communication Interface with Error Detection
Serial Communication Interface with Error DetectionSerial Communication Interface with Error Detection
Serial Communication Interface with Error Detection
 
M010617376
M010617376M010617376
M010617376
 
Embedded systems presentation
Embedded systems presentationEmbedded systems presentation
Embedded systems presentation
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Science
 
Uart
UartUart
Uart
 
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...
 
Jy3717961800
Jy3717961800Jy3717961800
Jy3717961800
 

More from Đức Hữu

Basic knowledge of ldo voltage regulators
Basic knowledge of ldo voltage regulatorsBasic knowledge of ldo voltage regulators
Basic knowledge of ldo voltage regulatorsĐức Hữu
 
Datasheet r7 m a10030_s1
Datasheet  r7 m a10030_s1Datasheet  r7 m a10030_s1
Datasheet r7 m a10030_s1Đức Hữu
 
Giao dien c# hien len maytinh
Giao dien c# hien len maytinhGiao dien c# hien len maytinh
Giao dien c# hien len maytinhĐức Hữu
 
Cac he dong luc hoc rat hay
Cac he dong luc hoc rat hayCac he dong luc hoc rat hay
Cac he dong luc hoc rat hayĐức Hữu
 
Bai giang dktd huynhthai hoang
Bai giang dktd huynhthai hoangBai giang dktd huynhthai hoang
Bai giang dktd huynhthai hoangĐức Hữu
 

More from Đức Hữu (11)

Ldo basic
Ldo basicLdo basic
Ldo basic
 
Basic knowledge of ldo voltage regulators
Basic knowledge of ldo voltage regulatorsBasic knowledge of ldo voltage regulators
Basic knowledge of ldo voltage regulators
 
Datasheet r7 m a10030_s1
Datasheet  r7 m a10030_s1Datasheet  r7 m a10030_s1
Datasheet r7 m a10030_s1
 
Giao dien c# hien len maytinh
Giao dien c# hien len maytinhGiao dien c# hien len maytinh
Giao dien c# hien len maytinh
 
Cac he dong luc hoc rat hay
Cac he dong luc hoc rat hayCac he dong luc hoc rat hay
Cac he dong luc hoc rat hay
 
Bai giang dktd huynhthai hoang
Bai giang dktd huynhthai hoangBai giang dktd huynhthai hoang
Bai giang dktd huynhthai hoang
 
Datasheet bq33200
Datasheet bq33200Datasheet bq33200
Datasheet bq33200
 
Chicken ânlog
Chicken ânlogChicken ânlog
Chicken ânlog
 
Ga dat cam bien
Ga dat cam bien Ga dat cam bien
Ga dat cam bien
 
Bien doi lapalce
Bien doi lapalceBien doi lapalce
Bien doi lapalce
 
Msptieuluan
MsptieuluanMsptieuluan
Msptieuluan
 

Recently uploaded

On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxPooja Bhuva
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxDenish Jangid
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentationcamerronhm
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxJisc
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxCeline George
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structuredhanjurrannsibayan2
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibitjbellavia9
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and ModificationsMJDuyan
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxannathomasp01
 
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfDr Vijay Vishwakarma
 
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxExploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxPooja Bhuva
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...ZurliaSoop
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfPoh-Sun Goh
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jisc
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17Celine George
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...pradhanghanshyam7136
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxUmeshTimilsina1
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...Poonam Aher Patil
 

Recently uploaded (20)

On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptx
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptx
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structure
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
 
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
 
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxExploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptx
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 

Chuan giao tiep rs232

  • 1.
  • 2.
  • 3. Communication protocol iinn oorr bbeettwweeeenn CCoommppuuttiinngg ssyysstteemmss TTeelleeccoommmmuunniiccaattiioonnss FFoorrmmaattss ooff ddiiggiittaall mmeessssaaggeess Rules for exchanging those messages Capabilities Describes Signaling Authentication Error detection and correction Syntax Semantics Synchronization
  • 4. Interface IInntteerraaccttiioonn bbeettwweeeenn Components Devices Systems Programs Computer vs user … AAtt 22 lleevveellss SSooffttwwaarree HHaarrddwwaarree Pieces of Software Pieces of Hardware II//OO SSyysstteemm Pieces of Software Pieces of Hardware PPrroottooccooll IInntteerrffaaccee
  • 5. Example: RS-232 MCUs + Voltage Level shifter TTXX TTXX RS-232 cable PPCCss RRXX RRXX Interface: 3-wire: Rx & Tx wires + GND wire 5-wire: Rx, Tx, RTS, DTS + GND Protocol: - Voltage level: Logic ‘1’ as -3  -15V Logic ‘0’ as +3  +15V - Frame: Start bit 5  8 Data bit None/Odd/Even Parity bit 1 or 2 Stop bit - Baudrate: 9600, 11200, …
  • 6. communications system OSI model Open Systems Interconnection model
  • 7. communications system OSI model Open Systems Interconnection model Layer 1: Physical Layer Defines the electrical and physical specifications for devices RRSS--223322 RRSS--448855 SSPPII II22CC Physical Layer
  • 8. A serial I/O communications peripheral Serial Communications Interface (SCI) Full-duplex Asynchronous Clock generators Half-duplex Synchronous peripheral systems (CRT Terminals, personal computers) peripheral devices Shift registers Data buffers (A/D or D/A integrated circuits, serial EEPROMs, other microcontrollers) typically do not have internal clocks for baud rate generation and require the external clock signal provided by a master synchronous device both send and receive at the same time devices take turns transmitting and receiving
  • 9. UART universal asynchronous receiver/transmitter DUART A dual UART combines two UARTs into a single chip USART universal synchronous/asynchronous receiver/transmitter
  • 10. [1] http://www.freebsd.org/doc/en/articles/serial-uart/ [2] http://en.wikipedia.org/wiki/Universal_asynchronous_receiver/transmitter [3] Microchip, PIC16F887 datasheet, part 12.0 p[153-178] [4] http://en.wikipedia.org/wiki/Communications_protocol [5] http://en.wikipedia.org/wiki/OSI_model