SlideShare a Scribd company logo
1 of 3
Download to read offline
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011


     Analysis and Simulation of Sub-threshold Leakage
     Current in P3 SRAM Cell at DSM Technology for
                 Multimedia Applications
      R.K.Singh1, Manisha Pattanaik2, Neeraj Kr. Shukla3, S.Birla4, Geetanjali Dhankar5, Pulkit Bhatnagar6
                   1
                       Bipin Tripathi Kumaon Institute of Technology, Dwarahat, Almora, (Uttarakhand), India
                           2
                             ABV-IIITM, VLSI Group, Department of IT, Gwalior, (Madhya Pradesh), India
                              4
                                Sir Padampat Singhania University, Bhatewar, Udaipur, (Rajasthan) India
                                  3,5,6
                                        ITM University, Department of EECE, Gurgaon, (Haryana), India

Abstract — In this work, the analysis and simulation work is            chip transistors directly comes from on-die SRAM. Since the
proposed for the low-power (reduced subthreshold leakage)               activity factor of a large on-die SRAM is relatively low. So, it
and high performance SRAM bit-cells for mobile multimedia               is demanded to pay more attention towards reducing standby
applications in deep-sub-micron (DSM) CMOS technology.                  leakage currents. In this work, the subthreshold leakage
The sub-threshold leakage analysis of the P3 SRAM cell has
                                                                        current has been simulated and analyzed in the 6T, PP, and P3
been carried out. It has been observed that due to pMOS
stacking and full supply body-biasing, there is a reduction of          SRAM cells in the standby mode of operation. Further, the
70% and 86% in sub-threshold leakage current at VDD=0.8V                stability has been analyzed.
and V DD =0.7V respectively as compared to conventional 6T                  The paper is organized as follows. In section II a brief
SRAM cell. Due to this a reduction in the standby power has             functional view of conventional 6T SRAM bit-cell is
been achieved w.r.t the 6T and PP SRAM design at a bearable             presented. Basic leakage current mechanisms are presented
expense of the SVNM and the WTV.                                        in section III. The section IV reviews the PP and the P3 SRAM
                                                                        designs followed by the analysis of the three cells and
Index Terms—Sub-threshold Leakage, Standby Power,                       conclusion in section V and VI respectively.
Stacking, Conventional SRAM cell, PP-SRAM, P3-SRAM
                                                                                    II. CONVENTIONAL 6T SRAM BIT-CELL
                          I. INTRODUCTION
                                                                            The conventional SRAM (CV-SRAM) cell has six MOS
Today’s portable devices have become computationally
                                                                        transistors (Four-nMOS and Two-pMOS), Fig 1. The memory
intensive devices as the user interface has migrated to a fully
                                                                        bit-cell has two CMOS inverters connected back to back (M1,
multi media experience. This migration leads to the growing
                                                                        M3, and M2, M4). Two more pass transistors (M5 and M6)
demand of battery powered portable multimedia applications.
                                                                        are the access transistors controlled by the Word Line (WL).
According to the International Technology Roadmap (ITRS),
                                                                        The cell preserves its one of two possible states “0” or “1”,
90% of the chip area will be occupied by the memory core by
                                                                        as long as power is available to the bit-cell.
2014 [1]. So, SRAM plays a critical role in the overall power,
performance, stability and area requirements. In order to fulfill
the demands, they must be specifically designed for every
application. Devices such as cell phones, have low activity
factor, i.e. their idle time is more than active time of device.
Even at the idle time, the device battery service is affected by
the leakage power loss. But with the scaling down of
technology, SRAM bit-cell structures are facing serious
challenge in maintaining performance because of leakage                                Figure1. 6T-CMOS SRAM Cell [4].
current issues, both in dynamic and standby modes. In                       Here, Static power dissipation is very small. Thus the cell
standby mode of SRAM bit-cell, there are several sources                draws current from the power supply only during switching.
for leakage current, e.g., the sub-threshold current due to             But idle mode of the memory is becoming the main concern in
low threshold voltage, while in dynamic mode, the gate                  the Deep Sub-Micron (DSM) technology due to its concerns
leakage current due to very thin gate oxides, is the major              in the leakage power and data retention at lower operating
contributor [2]. Process variation and leakage currents of              voltages. There are mainly the following three states of SRAM
transistors become more critical with the scaling down of               memory cell [5], the Write, Read, and Hold states.
technology, which is further affected by supply voltage
variations and/or temperature [3]. The SRAM stability is                             III. LEAKAGE CURRENT MECHANISMS
further severely affected by supply voltage scaling. The
                                                                            High leakage current in deep-submicron regimes is the
SRAM leakage current has become a more significant
                                                                        major contributor of power dissipation of CMOS circuits as
component of total chip current as a large portion of the total
                                                                        the device is being scaled. Various leakage mechanisms are
© 2011 ACEEE                                                        1
DOI: 01.IJEPE.02.03. 525
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011


show in Fig. 2, the dominant ones are the gate leakage, sub-         connected in series (in line), is kept OFF in standby mode
threshold leakage, and reverse junction leakage current.             and kept ON in active (read/write) mode.The pMOS
                                                                      transistors are used to lower the gate leakage current [7]
                                                                     while full-supply body-biasing scheme is used to reduce the
                                                                     sub-threshold leakage currents. P3 SRAM bit-cell made a
                                                                     significant fall in dynamic as well as standby powers in
                                                                     comparison to the conventional 6T SRAM bit cell, at the
                                                                     cost of small area penalty and issues with SNM.




     Figure2. Leakage current mechanisms of deep-submicron
                           transistors.
SUB-THRESHOLD LEAKAGE (ISUB)
    Current is the drain-to-source leakage current when the
transistor is in the OFF mode. This happens when the applied
voltage VGS is less than the threshold voltage V t of the
transistor, i.e., weak inversion mode. Subthreshold current
flows due to the diffusion current of the minority carriers in
the channel of Metal Oxide Semiconductor Field Effect
Transistor (MOSFET). Equation (1) relates sub-threshold               Figure3. P3 SRAM Sub-threshold Current Paths in Standby mode.
power with other device parameters.                                      In [7], a gate leakage current reduction technique based
                                                                     on the pMOS pass-transistor SRAM bit-cell structure as PP-
                                                                     SRAM cell has been proposed at 45nm technology and 0.8V
                                                                     supply voltage. In this cell, in order to decrease the gate
                                                                     leakage currents of the SRAM bit cell, nMOS pass transistors
Where, PSub-Vf is the Sub-threshold Power, m is Mobility, Weff
                                                                     are replaced by pMOS pass transistors. The use of pMOS
is the channel effective width, Leff is the channel effective
                                                                     leads to performance degradation due to different mobility
                                           KT                        coefficients for the nMOS and pMOS transistors. To
length, Cox is the Oxide capacitance, VT  q is the Thermal
                                                                     overcome this problem, the width of pMOS pass transistor is
voltage, VGS is the Gate-Source Voltage, VDS is Drain-Source         selected as 1.8 times of that of the nMOS for technology
Voltage, K is Boltzmann’s Constant, T is Temperature and q           used in this work. Thus, has area penalty.
is the Charge.
    As the supply voltage (VDD) is being uniformly scaled               V. LEAKAGE CURRENT ANALYSIS IN P3-SRAM BIT-CELL
down with successive technology nodes. The transistor delay
                                                                         To analyze the leakage currents and standby power in
is inversely proportional to the difference of supply and
                                                                     the P3, PP and 6T SRAM Cells, the simulation work is being
threshold voltage [6], the threshold voltage must also be
                                                                     performed in Cadence Virtuoso Environment at 45nm
scaled down proportionally with each technology node to
                                                                     technology with oxide thickness of 2.4nm at 27oC and the
maintain the circuit performance. This leads to an exponential
                                                                     supply voltage of VDD=0.8V and 0.7V.
increase in sub-threshold leakage current. Also, increasing
the threshold voltage (VT) of the transistor is an effective         A. SUB-THRESHOLD LEAKAGE (ISUB)
way to reduce sub-threshold leakage.As, the contribution of          Fig. 4 and fig. 5 shows the comparison of sub-threshold
the sub-threshold leakage current is most dominant we thus           leakage current in the standby mode for 6T SRAM, PP SRAM
analyze various SRAM cells for the Sub-threshold leakage in          and the P3 SRAM cell.
standby mode.

              IV. A REVIEW TO RELATED WORK
    In this section, we review some of the previously
proposed SRAM cell structures. In [4], a P3 SRAM bit-cell
structure at 45nm technology has been proposed for
semiconductor memories with high activity factor based
applications in Deep-Sub-Micron (DSM) CMOS technology.
It has been proposed for the reduction of the active and the
standby leakage power through the gate and sub-threshold
leakage reduction in the active and standby mode of the
memory operation.The stacking transistor pMOS (PM4),                       Figure 4. Sub-threshold Leakage Comparison at 0.8V
© 2011 ACEEE                                                     2
DOI: 01.IJEPE.02.03.525
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011


                                                                      in the P3 cell WTV is reduced by 62.8% and 43.8% compared
                                                                      to 6T and PP SRAM cell respectively.




       Figure 5. Sub-threshold Leakage Comparison at 0.8V
    As the Gated-pMOS (PM4) is turned OFF (i.e. in hold
state), it opposes the leakage current flow through it as there
                                                                                         Figure 8. WTV Comparison
is no direct path between VDD and ground, thus a decrease in
the leakage current of 70% and 86% has been observed at
                                                                                               CONCLUSION
VDD=0.8V and 0.7V respectively with respect to 6T SRAM
bit-cell. While compared to PP SRAM, the sub-threshold                   In this paper the sub-threshold leakage analysis of the
leakage reduction is 86.3% at VDD=0.8V and 91.8% at VDD=0.7V          proposed P3 SRAM cell has been carried out. It has been
due to the stacking effect.                                           observed that due to pMOS stacking and full supply body-
B. STANDBY POWER                                                      biasing, there is a reduction of 70% and 86% in sub-threshold
Fig. 6, shows the total standby power consumption of all the          leakage current at VDD=0.8V and VDD=0.7V respectively as
three designs. It is clear that due to the lowering of the sub-       compared to conventional 6T SRAM cell. While a significant
threshold current in the P3 SRAM, the reduction in total              leakage reduction of up to 91.8% is achieved as compared to
standby power of up to 86% and 89% has been achieved                  PP SRAM at VDD=0.7V. A reduction in the standby power of
with respect to conventional 6T and PP SRAM respectively.             86% and 89% has been achieved w.r.t the 6T and PP SRAM
                                                                      design at a bearable expense of the SVNM and the WTV.

                                                                                             ACKNOWLEDGMENT
                                                                      The authors are grateful to their respective organizations for
                                                                      their encouragement and support.

                                                                                                REFERENCES
                                                                      [1] International Technology Roadmap for Semiconductors-2003.
                                                                      Online-Available at http://www.publicitrs.net.
                                                                      [2] K. Cao, W.-C Lee, W. Liu, X. Jin, P. Su, S. Fung, J. An, B. Yu,
                                                                      and C. Hu, “BSIM4 gate leakage model including source drain
                                                                      partition,” Tech. Dig. Int. Electron Devices Meeting, 2000, pp.
              Figure 6. Standby Power Comparison
                                                                      815–818.
C. STABILITY                                                          [3] Cheng-Hung Lo,and Shi-Yu Huang,”P-P-N Based 10T SRAM
1. STATIC VOLTAGE NOISE MARGIN (SVNM)                                 Cell for Low-Leakage and Resilient Subthreshold Operation”,IEEE
Fig. 7, shows the SVNM comparison of all the three designs.           Journal of Solid State Circuits,Vol. 46,No.3,Mar. 2011,pp. 695-
                                                                      704.
Significant reduction of 52.4% and 56% in SVNM is made by
                                                                      [4] Neeraj Kr. Shukla, R.K Singh, Manisha Pattanaik, “A Novel
P3 cell compared to 6T and PP cell respectively.                      Approach to Reduce the Gate and Sub-threshold Leakage in a
                                                                      conventional SRAM Bit-cell Structure at Deep-Sub Micron CMOS
                                                                      Technology,” International Journal of Computer Applications
                                                                      (IJCA), vol. 23-No.7, pp. 23-28, June 2011.
                                                                      [5] Sung-Mo (Steve) Kang, Yusuf Leblebici, “CMOS Digital
                                                                      Integrated Circuits-Analysis and Design”, Third Edition Tata
                                                                      McGraw-Hill Edition, New Delhi, India.
                                                                      [6] Y. Taur, D. A. Buchanan, W. Chen, D. J. Frank, K. E. Ismail, S.
                                                                      H. Lo, G. Sai-Halasz, R.Viswanathan, and et al., “CMOS scaling
                                                                      into nanometer regime”, Proc. of the IEEE, vol. 85, Apr. 1997, pp.
                                                                      486–504.
                                                                      [7] G. Razavipour,A. Afzali-Kusha and M. Pedram,”Design and
                  Figure 7. SVNM Comparison
                                                                      Analysis of Two Low-Power SRAM Cell Structures”,IEEE
2. WRITE TRIP VOLTAGE (WTV)                                           Transaction on VLSI systems,Vol. 17,No. 10,Oct. 2009,pp. 1551-
Fig. 8, shows that due to lowering of the sub-threshold current       1555.
© 2011 ACEEE                                                      3
DOI: 01.IJEPE.02.03. 525

More Related Content

What's hot

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
IJECEIAES
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
IOSR Journals
 

What's hot (16)

STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Ground bounce mtcmos
Ground bounce mtcmosGround bounce mtcmos
Ground bounce mtcmos
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Mu2421122117
Mu2421122117Mu2421122117
Mu2421122117
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 

Viewers also liked

Viewers also liked (13)

Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Sram technology
Sram technologySram technology
Sram technology
 
SRAM redundancy insertion
SRAM redundancy insertionSRAM redundancy insertion
SRAM redundancy insertion
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Deep submicron-backdoors-ortega-syscan-2014-slides
Deep submicron-backdoors-ortega-syscan-2014-slidesDeep submicron-backdoors-ortega-syscan-2014-slides
Deep submicron-backdoors-ortega-syscan-2014-slides
 
Analysis of FinFET based Low Power SRAM Cell
Analysis of FinFET based Low Power SRAM CellAnalysis of FinFET based Low Power SRAM Cell
Analysis of FinFET based Low Power SRAM Cell
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
SRAM
SRAMSRAM
SRAM
 
SRAM
SRAMSRAM
SRAM
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 

Similar to Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at DSM Technology for Multimedia Applications

Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
IDES Editor
 

Similar to Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at DSM Technology for Multimedia Applications (20)

An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
ThePaper (1)
ThePaper (1)ThePaper (1)
ThePaper (1)
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 

More from IDES Editor

Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
IDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
IDES Editor
 

More from IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Recently uploaded

Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
Earley Information Science
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 

Recently uploaded (20)

Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
Tech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdfTech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdf
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Evaluating the top large language models.pdf
Evaluating the top large language models.pdfEvaluating the top large language models.pdf
Evaluating the top large language models.pdf
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 

Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at DSM Technology for Multimedia Applications

  • 1. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011 Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at DSM Technology for Multimedia Applications R.K.Singh1, Manisha Pattanaik2, Neeraj Kr. Shukla3, S.Birla4, Geetanjali Dhankar5, Pulkit Bhatnagar6 1 Bipin Tripathi Kumaon Institute of Technology, Dwarahat, Almora, (Uttarakhand), India 2 ABV-IIITM, VLSI Group, Department of IT, Gwalior, (Madhya Pradesh), India 4 Sir Padampat Singhania University, Bhatewar, Udaipur, (Rajasthan) India 3,5,6 ITM University, Department of EECE, Gurgaon, (Haryana), India Abstract — In this work, the analysis and simulation work is chip transistors directly comes from on-die SRAM. Since the proposed for the low-power (reduced subthreshold leakage) activity factor of a large on-die SRAM is relatively low. So, it and high performance SRAM bit-cells for mobile multimedia is demanded to pay more attention towards reducing standby applications in deep-sub-micron (DSM) CMOS technology. leakage currents. In this work, the subthreshold leakage The sub-threshold leakage analysis of the P3 SRAM cell has current has been simulated and analyzed in the 6T, PP, and P3 been carried out. It has been observed that due to pMOS stacking and full supply body-biasing, there is a reduction of SRAM cells in the standby mode of operation. Further, the 70% and 86% in sub-threshold leakage current at VDD=0.8V stability has been analyzed. and V DD =0.7V respectively as compared to conventional 6T The paper is organized as follows. In section II a brief SRAM cell. Due to this a reduction in the standby power has functional view of conventional 6T SRAM bit-cell is been achieved w.r.t the 6T and PP SRAM design at a bearable presented. Basic leakage current mechanisms are presented expense of the SVNM and the WTV. in section III. The section IV reviews the PP and the P3 SRAM designs followed by the analysis of the three cells and Index Terms—Sub-threshold Leakage, Standby Power, conclusion in section V and VI respectively. Stacking, Conventional SRAM cell, PP-SRAM, P3-SRAM II. CONVENTIONAL 6T SRAM BIT-CELL I. INTRODUCTION The conventional SRAM (CV-SRAM) cell has six MOS Today’s portable devices have become computationally transistors (Four-nMOS and Two-pMOS), Fig 1. The memory intensive devices as the user interface has migrated to a fully bit-cell has two CMOS inverters connected back to back (M1, multi media experience. This migration leads to the growing M3, and M2, M4). Two more pass transistors (M5 and M6) demand of battery powered portable multimedia applications. are the access transistors controlled by the Word Line (WL). According to the International Technology Roadmap (ITRS), The cell preserves its one of two possible states “0” or “1”, 90% of the chip area will be occupied by the memory core by as long as power is available to the bit-cell. 2014 [1]. So, SRAM plays a critical role in the overall power, performance, stability and area requirements. In order to fulfill the demands, they must be specifically designed for every application. Devices such as cell phones, have low activity factor, i.e. their idle time is more than active time of device. Even at the idle time, the device battery service is affected by the leakage power loss. But with the scaling down of technology, SRAM bit-cell structures are facing serious challenge in maintaining performance because of leakage Figure1. 6T-CMOS SRAM Cell [4]. current issues, both in dynamic and standby modes. In Here, Static power dissipation is very small. Thus the cell standby mode of SRAM bit-cell, there are several sources draws current from the power supply only during switching. for leakage current, e.g., the sub-threshold current due to But idle mode of the memory is becoming the main concern in low threshold voltage, while in dynamic mode, the gate the Deep Sub-Micron (DSM) technology due to its concerns leakage current due to very thin gate oxides, is the major in the leakage power and data retention at lower operating contributor [2]. Process variation and leakage currents of voltages. There are mainly the following three states of SRAM transistors become more critical with the scaling down of memory cell [5], the Write, Read, and Hold states. technology, which is further affected by supply voltage variations and/or temperature [3]. The SRAM stability is III. LEAKAGE CURRENT MECHANISMS further severely affected by supply voltage scaling. The High leakage current in deep-submicron regimes is the SRAM leakage current has become a more significant major contributor of power dissipation of CMOS circuits as component of total chip current as a large portion of the total the device is being scaled. Various leakage mechanisms are © 2011 ACEEE 1 DOI: 01.IJEPE.02.03. 525
  • 2. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011 show in Fig. 2, the dominant ones are the gate leakage, sub- connected in series (in line), is kept OFF in standby mode threshold leakage, and reverse junction leakage current. and kept ON in active (read/write) mode.The pMOS transistors are used to lower the gate leakage current [7] while full-supply body-biasing scheme is used to reduce the sub-threshold leakage currents. P3 SRAM bit-cell made a significant fall in dynamic as well as standby powers in comparison to the conventional 6T SRAM bit cell, at the cost of small area penalty and issues with SNM. Figure2. Leakage current mechanisms of deep-submicron transistors. SUB-THRESHOLD LEAKAGE (ISUB) Current is the drain-to-source leakage current when the transistor is in the OFF mode. This happens when the applied voltage VGS is less than the threshold voltage V t of the transistor, i.e., weak inversion mode. Subthreshold current flows due to the diffusion current of the minority carriers in the channel of Metal Oxide Semiconductor Field Effect Transistor (MOSFET). Equation (1) relates sub-threshold Figure3. P3 SRAM Sub-threshold Current Paths in Standby mode. power with other device parameters. In [7], a gate leakage current reduction technique based on the pMOS pass-transistor SRAM bit-cell structure as PP- SRAM cell has been proposed at 45nm technology and 0.8V supply voltage. In this cell, in order to decrease the gate leakage currents of the SRAM bit cell, nMOS pass transistors Where, PSub-Vf is the Sub-threshold Power, m is Mobility, Weff are replaced by pMOS pass transistors. The use of pMOS is the channel effective width, Leff is the channel effective leads to performance degradation due to different mobility KT coefficients for the nMOS and pMOS transistors. To length, Cox is the Oxide capacitance, VT  q is the Thermal overcome this problem, the width of pMOS pass transistor is voltage, VGS is the Gate-Source Voltage, VDS is Drain-Source selected as 1.8 times of that of the nMOS for technology Voltage, K is Boltzmann’s Constant, T is Temperature and q used in this work. Thus, has area penalty. is the Charge. As the supply voltage (VDD) is being uniformly scaled V. LEAKAGE CURRENT ANALYSIS IN P3-SRAM BIT-CELL down with successive technology nodes. The transistor delay To analyze the leakage currents and standby power in is inversely proportional to the difference of supply and the P3, PP and 6T SRAM Cells, the simulation work is being threshold voltage [6], the threshold voltage must also be performed in Cadence Virtuoso Environment at 45nm scaled down proportionally with each technology node to technology with oxide thickness of 2.4nm at 27oC and the maintain the circuit performance. This leads to an exponential supply voltage of VDD=0.8V and 0.7V. increase in sub-threshold leakage current. Also, increasing the threshold voltage (VT) of the transistor is an effective A. SUB-THRESHOLD LEAKAGE (ISUB) way to reduce sub-threshold leakage.As, the contribution of Fig. 4 and fig. 5 shows the comparison of sub-threshold the sub-threshold leakage current is most dominant we thus leakage current in the standby mode for 6T SRAM, PP SRAM analyze various SRAM cells for the Sub-threshold leakage in and the P3 SRAM cell. standby mode. IV. A REVIEW TO RELATED WORK In this section, we review some of the previously proposed SRAM cell structures. In [4], a P3 SRAM bit-cell structure at 45nm technology has been proposed for semiconductor memories with high activity factor based applications in Deep-Sub-Micron (DSM) CMOS technology. It has been proposed for the reduction of the active and the standby leakage power through the gate and sub-threshold leakage reduction in the active and standby mode of the memory operation.The stacking transistor pMOS (PM4), Figure 4. Sub-threshold Leakage Comparison at 0.8V © 2011 ACEEE 2 DOI: 01.IJEPE.02.03.525
  • 3. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 03, Nov 2011 in the P3 cell WTV is reduced by 62.8% and 43.8% compared to 6T and PP SRAM cell respectively. Figure 5. Sub-threshold Leakage Comparison at 0.8V As the Gated-pMOS (PM4) is turned OFF (i.e. in hold state), it opposes the leakage current flow through it as there Figure 8. WTV Comparison is no direct path between VDD and ground, thus a decrease in the leakage current of 70% and 86% has been observed at CONCLUSION VDD=0.8V and 0.7V respectively with respect to 6T SRAM bit-cell. While compared to PP SRAM, the sub-threshold In this paper the sub-threshold leakage analysis of the leakage reduction is 86.3% at VDD=0.8V and 91.8% at VDD=0.7V proposed P3 SRAM cell has been carried out. It has been due to the stacking effect. observed that due to pMOS stacking and full supply body- B. STANDBY POWER biasing, there is a reduction of 70% and 86% in sub-threshold Fig. 6, shows the total standby power consumption of all the leakage current at VDD=0.8V and VDD=0.7V respectively as three designs. It is clear that due to the lowering of the sub- compared to conventional 6T SRAM cell. While a significant threshold current in the P3 SRAM, the reduction in total leakage reduction of up to 91.8% is achieved as compared to standby power of up to 86% and 89% has been achieved PP SRAM at VDD=0.7V. A reduction in the standby power of with respect to conventional 6T and PP SRAM respectively. 86% and 89% has been achieved w.r.t the 6T and PP SRAM design at a bearable expense of the SVNM and the WTV. ACKNOWLEDGMENT The authors are grateful to their respective organizations for their encouragement and support. REFERENCES [1] International Technology Roadmap for Semiconductors-2003. Online-Available at http://www.publicitrs.net. [2] K. Cao, W.-C Lee, W. Liu, X. Jin, P. Su, S. Fung, J. An, B. Yu, and C. Hu, “BSIM4 gate leakage model including source drain partition,” Tech. Dig. Int. Electron Devices Meeting, 2000, pp. Figure 6. Standby Power Comparison 815–818. C. STABILITY [3] Cheng-Hung Lo,and Shi-Yu Huang,”P-P-N Based 10T SRAM 1. STATIC VOLTAGE NOISE MARGIN (SVNM) Cell for Low-Leakage and Resilient Subthreshold Operation”,IEEE Fig. 7, shows the SVNM comparison of all the three designs. Journal of Solid State Circuits,Vol. 46,No.3,Mar. 2011,pp. 695- 704. Significant reduction of 52.4% and 56% in SVNM is made by [4] Neeraj Kr. Shukla, R.K Singh, Manisha Pattanaik, “A Novel P3 cell compared to 6T and PP cell respectively. Approach to Reduce the Gate and Sub-threshold Leakage in a conventional SRAM Bit-cell Structure at Deep-Sub Micron CMOS Technology,” International Journal of Computer Applications (IJCA), vol. 23-No.7, pp. 23-28, June 2011. [5] Sung-Mo (Steve) Kang, Yusuf Leblebici, “CMOS Digital Integrated Circuits-Analysis and Design”, Third Edition Tata McGraw-Hill Edition, New Delhi, India. [6] Y. Taur, D. A. Buchanan, W. Chen, D. J. Frank, K. E. Ismail, S. H. Lo, G. Sai-Halasz, R.Viswanathan, and et al., “CMOS scaling into nanometer regime”, Proc. of the IEEE, vol. 85, Apr. 1997, pp. 486–504. [7] G. Razavipour,A. Afzali-Kusha and M. Pedram,”Design and Figure 7. SVNM Comparison Analysis of Two Low-Power SRAM Cell Structures”,IEEE 2. WRITE TRIP VOLTAGE (WTV) Transaction on VLSI systems,Vol. 17,No. 10,Oct. 2009,pp. 1551- Fig. 8, shows that due to lowering of the sub-threshold current 1555. © 2011 ACEEE 3 DOI: 01.IJEPE.02.03. 525