SlideShare a Scribd company logo
1 of 5
Download to read offline
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 7, Issue 4 (Sep. - Oct. 2013), PP 37-41
www.iosrjournals.org
www.iosrjournals.org 37 | Page
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
Rajib Chetia, Kaushik Chandra Deva Sarma, Gaurab Baruah
(Asst. Professor, CIT, Kokrajhar) (Asst. Professor, CIT, Kokrajhar)
(M.Tech student .in ECT, Gauhati University)
Abstract: This paper presents the behavioral Design and synthesis of a 64 bit ALU. 64 bit ALU is basically a
multiplexer that operates mainly 16 operations as per select line Bit-permutation. Flags are other important
indicators used for specific purpose e.g. if Sign Flag is HIGH then the output of ALU must be a negative number.
CLR can reset the output of ALU.
Keywords: Flags, CLR, 64 Bit ALU, VHDL.
I. Introduction
VHDL is used as the specification and synthesis language, but the process of transformation is
substantially more automated. Specifically, the process of High-level simulation is maintained by the
transformation from the level of description into hardware elements being automated. With the Synthesis route,
the process of mapping into particular targeted circuit technologies is automated (e.g. Spartan 6E) .The Synthesis
process therefore both increases productivity (through automation) and reduces flexibility (by restricting the
choice of technology.
II. Behavioral Modeling
The Behavioral Style architecture contains concurrent statements with sections of sequential statements
that describe the outputs of the circuit at a discrete moment in time given particular inputs. While similar language
constructs are often found in Dataflow and Behavioral style architectures, only the latter explicitly exhibit the
notions of time and control. This style describes the functions of the circuit at the algorithmic level. The highest
level of abstraction is the behavioral level that describes a system in terms of what it does (or how it behaves)
rather than in terms of its components and interconnection between them
III. ALU Operations:
Fig.1.1: Entity 64 Bit ALU
3.1 Arithmetic Operations
Carry Look Ahead Adder is a faster adder that overcomes the delay of Ripple Carry Adder. ALU can
operate addition; subtraction etc. between Ain and Bin input bus.
3.2 Logic operations
All basic logic operations are included e.g. XOR, NAND, NOR, AND etc.
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
www.iosrjournals.org 38 | Page
3.3 Flags Status
Flags are too much important in HDL Designing of ALU. All Flags like Sign, Overflow, Carry, Parity,
Auxiliary Carry, and Zero. Flags actually allow us to determine the result of an operation more efficiently e.g.
Overflow Flag shows occurrence of overflow condition during an operation.
3.4 Comparator
ALU compares the inputs Ain and Bin and results whether Ain>Bin, Ain=Bin or Ain<Bin.
3.5 Others
Enable, CLR are other parameters that are used to control the operations of ALU. CLR resets the output to logic 0.
If Enable is set to logic 1 the ALU retains the value stored from previous clock cycle.
Fig. 1.2: RTL Schematic.
Table 1:
SELECT
LINE
OPERATION SELECT LINE OPERATION
0000 Ain AND Bin 1000 Ain XNOR Bin
0001 Ain OR Bin 1001 NOT (Ain NAND Bin)
0010 Ain and (NOT Bin) 1010 NOT (Ain NOR Bin)
0011 NOT Ain 1011 Carry Ripple Adder, Carry, Overflow
0100 NOT Bin 1100 Carry Ripple Adder, Carry, Overflow
0101 Ain NAND Bin 1101 64 Bit Adder
0110 Ain NOR Bin 1110 64 Bit Subtractor
0111 Ain XOR Bin 1111 Ain NOR (NOT Bin)
IV. Xilinx Simulation:
Simulation of 64 Bit ALU for the Behavioral model has been performed for 1000 nano-seconds
(ns). Each Clock cycle has 100 ns rise time and 100 ns fall time. The simulation of 64 Bit ALU(if
rising_edge(CLK) and EN=0) generated from Testbench Waveform is given in figure 2.1 and 2.2
below.
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
www.iosrjournals.org 39 | Page
Figure 2.1: Testbench Simulation.
Figure 2.2: Testbench Simulation
V. Synthesis and Implementation Report:
Xilinx-ISE v9.1i has generated the Synthesis and Implementation report for the behavioral model of 64
Bit ALU and are given below:
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
www.iosrjournals.org 40 | Page
Figure 3: Design Utilization Summary.
VI. Conclusion:
The 64 bit ALU is designed and synthesized using Xilinx ise v9.1i and targeted to Spartan device. The
ALU is a major component of the CPU(Central Processing Unit). It performs arithmetic computation such as
Addition, Subtraction, Comparator, Overflow and all basic logical operations (AND, OR, NOT, NOR, XOR,
XNOR, NAND). We have verified the results obtained from Xilinx ISE Design Suit v9.1i with the theoretical
results for all the operations that were performed and found that they match with the theoretical values.
Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE
www.iosrjournals.org 41 | Page
References:
[1]. Suchita Kamble1, Prof .N. N. Mhala “ VHDL Implementation of 8-Bit ALU”, IOSR Journal of Electronics and Communication
Engineering (IOSRJECE) ISSN : 2278-2834 Volume 1, Issue 1 (May-June 2012
[2]. Geetanjali1 and Nishant Tripathi “VHDL Implementation of 32-Bit Arithmetic Logic Unit (Alu)” International Journal of Computer
Science and Communication Engineering IJCSCE Special issue on “Emerging Trends in Engineering” ICETIE 2012
[3]. D. Gajski and R. Khun, “Introduction: New VLSI Tools,” IEEE Computer, Vol. 16, No. 12, pp. 11-14, Dec. 1983.
[4]. D. L. Perry, “ VHDL”, Tata Mcgraw Hill Edition, 4th Edition, 2002.
[5]. C. Maxfiled, “The Design Warriors Guide to FPGAs”, Elsevier, 2004.
[6]. J. Bhaskar, “ VHDL Primer”, Pearson Education, 3rd Edition, 2000.
[7]. J. Bhaskar, “ VHDL Synthesis Primer”, Pearson Education, 1st Edition, 2002.

More Related Content

What's hot

What's hot (8)

An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
Phd Defense 2007
Phd Defense 2007Phd Defense 2007
Phd Defense 2007
 
implementation and design of 32-bit adder
implementation and design of 32-bit adderimplementation and design of 32-bit adder
implementation and design of 32-bit adder
 
ScilabTEC 2015 - Scilab
ScilabTEC 2015 - ScilabScilabTEC 2015 - Scilab
ScilabTEC 2015 - Scilab
 
P1131213152
P1131213152P1131213152
P1131213152
 
Using FPGA Design and HIL Algorithm Simulation to Control Visual Servoing
Using FPGA Design and HIL Algorithm Simulation to Control Visual ServoingUsing FPGA Design and HIL Algorithm Simulation to Control Visual Servoing
Using FPGA Design and HIL Algorithm Simulation to Control Visual Servoing
 
Inverse Kinematics Using Genetic Algorithms
Inverse Kinematics Using Genetic AlgorithmsInverse Kinematics Using Genetic Algorithms
Inverse Kinematics Using Genetic Algorithms
 
FPGA FIR filter implementation (Audio signal processing)
FPGA FIR filter implementation (Audio signal processing)FPGA FIR filter implementation (Audio signal processing)
FPGA FIR filter implementation (Audio signal processing)
 

Similar to Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE

Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
IJERD Editor
 
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
eSAT Journals
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
Amber Bhaumik
 

Similar to Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE (20)

VHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALUVHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALU
 
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
IRJET- A Review on Single Precision Floating Point Arithmetic Unit of 32 Bit ...
 
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDLDESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
Clock gated and enable-controlled 64-bit alu architecture for low-power appli...
 
4_BIT_ALU
4_BIT_ALU4_BIT_ALU
4_BIT_ALU
 
8 bit alu design
8 bit alu design8 bit alu design
8 bit alu design
 
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
A Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaA Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on Fpga
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
 
Over view of Microprocessor 8085 and its application
Over view of Microprocessor 8085 and its applicationOver view of Microprocessor 8085 and its application
Over view of Microprocessor 8085 and its application
 
C010620914
C010620914C010620914
C010620914
 
IRJET- Asic Implementation of Efficient Error Detection for Floating Poin...
IRJET-  	  Asic Implementation of Efficient Error Detection for Floating Poin...IRJET-  	  Asic Implementation of Efficient Error Detection for Floating Poin...
IRJET- Asic Implementation of Efficient Error Detection for Floating Poin...
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
 
IRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using VerilogIRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using Verilog
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
 

More from IOSR Journals

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
dollysharma2066
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
MsecMca
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Christo Ananth
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
ankushspencer015
 

Recently uploaded (20)

VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 

Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 7, Issue 4 (Sep. - Oct. 2013), PP 37-41 www.iosrjournals.org www.iosrjournals.org 37 | Page Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE Rajib Chetia, Kaushik Chandra Deva Sarma, Gaurab Baruah (Asst. Professor, CIT, Kokrajhar) (Asst. Professor, CIT, Kokrajhar) (M.Tech student .in ECT, Gauhati University) Abstract: This paper presents the behavioral Design and synthesis of a 64 bit ALU. 64 bit ALU is basically a multiplexer that operates mainly 16 operations as per select line Bit-permutation. Flags are other important indicators used for specific purpose e.g. if Sign Flag is HIGH then the output of ALU must be a negative number. CLR can reset the output of ALU. Keywords: Flags, CLR, 64 Bit ALU, VHDL. I. Introduction VHDL is used as the specification and synthesis language, but the process of transformation is substantially more automated. Specifically, the process of High-level simulation is maintained by the transformation from the level of description into hardware elements being automated. With the Synthesis route, the process of mapping into particular targeted circuit technologies is automated (e.g. Spartan 6E) .The Synthesis process therefore both increases productivity (through automation) and reduces flexibility (by restricting the choice of technology. II. Behavioral Modeling The Behavioral Style architecture contains concurrent statements with sections of sequential statements that describe the outputs of the circuit at a discrete moment in time given particular inputs. While similar language constructs are often found in Dataflow and Behavioral style architectures, only the latter explicitly exhibit the notions of time and control. This style describes the functions of the circuit at the algorithmic level. The highest level of abstraction is the behavioral level that describes a system in terms of what it does (or how it behaves) rather than in terms of its components and interconnection between them III. ALU Operations: Fig.1.1: Entity 64 Bit ALU 3.1 Arithmetic Operations Carry Look Ahead Adder is a faster adder that overcomes the delay of Ripple Carry Adder. ALU can operate addition; subtraction etc. between Ain and Bin input bus. 3.2 Logic operations All basic logic operations are included e.g. XOR, NAND, NOR, AND etc.
  • 2. Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE www.iosrjournals.org 38 | Page 3.3 Flags Status Flags are too much important in HDL Designing of ALU. All Flags like Sign, Overflow, Carry, Parity, Auxiliary Carry, and Zero. Flags actually allow us to determine the result of an operation more efficiently e.g. Overflow Flag shows occurrence of overflow condition during an operation. 3.4 Comparator ALU compares the inputs Ain and Bin and results whether Ain>Bin, Ain=Bin or Ain<Bin. 3.5 Others Enable, CLR are other parameters that are used to control the operations of ALU. CLR resets the output to logic 0. If Enable is set to logic 1 the ALU retains the value stored from previous clock cycle. Fig. 1.2: RTL Schematic. Table 1: SELECT LINE OPERATION SELECT LINE OPERATION 0000 Ain AND Bin 1000 Ain XNOR Bin 0001 Ain OR Bin 1001 NOT (Ain NAND Bin) 0010 Ain and (NOT Bin) 1010 NOT (Ain NOR Bin) 0011 NOT Ain 1011 Carry Ripple Adder, Carry, Overflow 0100 NOT Bin 1100 Carry Ripple Adder, Carry, Overflow 0101 Ain NAND Bin 1101 64 Bit Adder 0110 Ain NOR Bin 1110 64 Bit Subtractor 0111 Ain XOR Bin 1111 Ain NOR (NOT Bin) IV. Xilinx Simulation: Simulation of 64 Bit ALU for the Behavioral model has been performed for 1000 nano-seconds (ns). Each Clock cycle has 100 ns rise time and 100 ns fall time. The simulation of 64 Bit ALU(if rising_edge(CLK) and EN=0) generated from Testbench Waveform is given in figure 2.1 and 2.2 below.
  • 3. Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE www.iosrjournals.org 39 | Page Figure 2.1: Testbench Simulation. Figure 2.2: Testbench Simulation V. Synthesis and Implementation Report: Xilinx-ISE v9.1i has generated the Synthesis and Implementation report for the behavioral model of 64 Bit ALU and are given below:
  • 4. Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE www.iosrjournals.org 40 | Page Figure 3: Design Utilization Summary. VI. Conclusion: The 64 bit ALU is designed and synthesized using Xilinx ise v9.1i and targeted to Spartan device. The ALU is a major component of the CPU(Central Processing Unit). It performs arithmetic computation such as Addition, Subtraction, Comparator, Overflow and all basic logical operations (AND, OR, NOT, NOR, XOR, XNOR, NAND). We have verified the results obtained from Xilinx ISE Design Suit v9.1i with the theoretical results for all the operations that were performed and found that they match with the theoretical values.
  • 5. Behavioral Design and Synthesis of 64 BIT ALU using Xilinx ISE www.iosrjournals.org 41 | Page References: [1]. Suchita Kamble1, Prof .N. N. Mhala “ VHDL Implementation of 8-Bit ALU”, IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN : 2278-2834 Volume 1, Issue 1 (May-June 2012 [2]. Geetanjali1 and Nishant Tripathi “VHDL Implementation of 32-Bit Arithmetic Logic Unit (Alu)” International Journal of Computer Science and Communication Engineering IJCSCE Special issue on “Emerging Trends in Engineering” ICETIE 2012 [3]. D. Gajski and R. Khun, “Introduction: New VLSI Tools,” IEEE Computer, Vol. 16, No. 12, pp. 11-14, Dec. 1983. [4]. D. L. Perry, “ VHDL”, Tata Mcgraw Hill Edition, 4th Edition, 2002. [5]. C. Maxfiled, “The Design Warriors Guide to FPGAs”, Elsevier, 2004. [6]. J. Bhaskar, “ VHDL Primer”, Pearson Education, 3rd Edition, 2000. [7]. J. Bhaskar, “ VHDL Synthesis Primer”, Pearson Education, 1st Edition, 2002.