SlideShare a Scribd company logo
1 of 22
1
Physical design – overall flow
Placement
Cost Estimation
Routing
Global Routing
Compaction/clean-up
Detailed Routing
Cost Estimation
Floorplanning
Partitioning
Improvement
Cost Estimation
Improvement
Improvement
Input to Routing Phase
• Netlist
• Timing budget for nets, typically for critical nets.
• Placement information including
 location of blocks
locations of pins on the block boundary as well as
on top
• RC delay per unit length on each metal layer
• RC delay for each via
Objective
• Objective of routing depends upon nature of the chip.
 General purpose chips
it is sufficient to minimize the total wire length
 For high performance chips
it is important to route each net such that it meets
its timing budget.
Usually routing involves special treatment of typical Nets
clock nets, power and ground nets
These nets are routed separately by special routers
VLSI Routers
Overall Processes
General Routing Problem
Classification of Global Routing
Algorithms
1.Sequential Approach
Two-terminal algorithms:
• i. Maze routing algorithms
• ii. Line-probe algorithms
• iii. Shortest path based algorithms
Multi-terminal algorithms:
• i. Steiner tree based algorithms
2.Concurrent Approach
THE LEE ALGORITHM
FOR MAZE ROUTING
• The Lee algorithm is a classical routing
technique.
• Lee introduced an algorithm for routing a two
terminal net on a grid in 1961.
• Maze routing algorithms are used to find a
path between a pair of points, called the
source(s) and the target(t)
Maze Routing - The Lee Algorithm
• Treat routing surface as a grid
• Algorithm Operation
– Wave propagation - starting with “source” terminal, label neighboring nodes
with shortest path back to source; stop when target reached
– Backtrace - follow shortest path from target back to source
– Cleanup - remove labels
S
T
N
N
Continued
Main points:
• the routing area is a grid of squares
• the goal is to connect all nets.
• a two-point connection is realized by
propagating a wave front from the source
terminal outwards until the target terminal is
reached.
Continued
• the shortest-connection is found by
backtracking from the target to the source.
• in the case of multi-terminal nets: first two
terminals are connected, this connection is
the target for the wave propagation from the
third terminal, etc.
• a routed net is an obstacle for the next nets
Reducing Memory Requirement
• ˙ Akers's Observations (1967)
– Adjacent labels for k are either k-1 or k+1.
– Want a labeling scheme such that each label has its
preceding label different from its succeeding label.
• ˙Way 1: coding sequence 1, 2, 3, 1, 2, 3, …;
states: 1, 2, 3, empty, blocked
• ˙Way 2: coding sequence 1, 1, 2, 2, 1, 1, 2, 2, …;
states: 1, 2, empty, blocked
Evaluation
• the algorithm always finds a connection if a
connection exists.
• for two-terminal nets, this connection is the
shortest possible; for multi-terminal nets the
connection need not be the shortest possible
• it can be generalized for multiple layers: wave
front expansion in three dimensions
• the quality of its result strongly depends on
the ordering of the nets.
• ˙Strengths
– Guarantee to find connection between 2
terminals if it exists.
– Guarantee minimum path.
• ˙Weaknesses
– Requires large memory for dense layout.
– Slow.
• ˙Applications:
– global routing, detailed routing.

More Related Content

What's hot

Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 

What's hot (20)

Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Physical design
Physical design Physical design
Physical design
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
09 placement
09 placement09 placement
09 placement
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Eco
EcoEco
Eco
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 

Similar to Routing.ppt

Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routingfreeloadtailieu
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.pptsumadi26
 
Packet Switching Technique in Computer Network
Packet Switching Technique in Computer NetworkPacket Switching Technique in Computer Network
Packet Switching Technique in Computer NetworkNiharikaDubey17
 
L1 by-mr
L1 by-mrL1 by-mr
L1 by-mrwael-b1
 
Introduction to backwards learning algorithm
Introduction to backwards learning algorithmIntroduction to backwards learning algorithm
Introduction to backwards learning algorithmRoshan Karunarathna
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsisubhradeep mitra
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Hemant Jha
 
Computer networks unit ii
Computer networks    unit iiComputer networks    unit ii
Computer networks unit iiJAIGANESH SEKAR
 
Module 3 Part B - computer networks module 2 ppt
Module 3 Part B - computer networks module 2 pptModule 3 Part B - computer networks module 2 ppt
Module 3 Part B - computer networks module 2 pptanushaj46
 
Presentation Routing algorithm
Presentation Routing algorithmPresentation Routing algorithm
Presentation Routing algorithmBasit Hussain
 
monotoring Basics2
monotoring Basics2monotoring Basics2
monotoring Basics2Programmer
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platformsSyed Zaid Irshad
 
UNIT_III_FULL_PPT.pdf.pdf
UNIT_III_FULL_PPT.pdf.pdfUNIT_III_FULL_PPT.pdf.pdf
UNIT_III_FULL_PPT.pdf.pdfMathavan N
 
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1aishwaryaarrao3
 
Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Ryan Lott
 

Similar to Routing.ppt (20)

Network layer
Network layerNetwork layer
Network layer
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
 
Packet Switching Technique in Computer Network
Packet Switching Technique in Computer NetworkPacket Switching Technique in Computer Network
Packet Switching Technique in Computer Network
 
Network
NetworkNetwork
Network
 
L1 by-mr
L1 by-mrL1 by-mr
L1 by-mr
 
Introduction to backwards learning algorithm
Introduction to backwards learning algorithmIntroduction to backwards learning algorithm
Introduction to backwards learning algorithm
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
 
Computer networks unit ii
Computer networks    unit iiComputer networks    unit ii
Computer networks unit ii
 
Module 3 Part B - computer networks module 2 ppt
Module 3 Part B - computer networks module 2 pptModule 3 Part B - computer networks module 2 ppt
Module 3 Part B - computer networks module 2 ppt
 
Lecture
LectureLecture
Lecture
 
Presentation Routing algorithm
Presentation Routing algorithmPresentation Routing algorithm
Presentation Routing algorithm
 
monotoring Basics2
monotoring Basics2monotoring Basics2
monotoring Basics2
 
Wsn protocols
Wsn protocolsWsn protocols
Wsn protocols
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
 
UNIT_III_FULL_PPT.pdf.pdf
UNIT_III_FULL_PPT.pdf.pdfUNIT_III_FULL_PPT.pdf.pdf
UNIT_III_FULL_PPT.pdf.pdf
 
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
COMPUTER NETWORKS CHAPTER 3 NETWORK LAYER NOTES CSE 3RD year sem 1
 
datalink.ppt
datalink.pptdatalink.ppt
datalink.ppt
 
Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]
 

More from Sunesh N.V

02-diaphragm-master_Dr.Sanaa.ppt
02-diaphragm-master_Dr.Sanaa.ppt02-diaphragm-master_Dr.Sanaa.ppt
02-diaphragm-master_Dr.Sanaa.pptSunesh N.V
 
UPDown fading.pptx
UPDown fading.pptxUPDown fading.pptx
UPDown fading.pptxSunesh N.V
 
DINOOP R INTC1517518.pptx
DINOOP R  INTC1517518.pptxDINOOP R  INTC1517518.pptx
DINOOP R INTC1517518.pptxSunesh N.V
 
FM _Sunesh.pptx
FM _Sunesh.pptxFM _Sunesh.pptx
FM _Sunesh.pptxSunesh N.V
 
555 Timer Based Circuit.pptx
555 Timer Based Circuit.pptx555 Timer Based Circuit.pptx
555 Timer Based Circuit.pptxSunesh N.V
 
Panic Alarm Circuit.pptx
Panic Alarm Circuit.pptxPanic Alarm Circuit.pptx
Panic Alarm Circuit.pptxSunesh N.V
 
RASPBERRY PI SPEAKING BUS STOP REMINDER- Copy.pptx
RASPBERRY PI  SPEAKING BUS STOP REMINDER- Copy.pptxRASPBERRY PI  SPEAKING BUS STOP REMINDER- Copy.pptx
RASPBERRY PI SPEAKING BUS STOP REMINDER- Copy.pptxSunesh N.V
 
WEEKEND PROJECT.pptx
WEEKEND PROJECT.pptxWEEKEND PROJECT.pptx
WEEKEND PROJECT.pptxSunesh N.V
 
1549507642878_lect15_cloud.ppt
1549507642878_lect15_cloud.ppt1549507642878_lect15_cloud.ppt
1549507642878_lect15_cloud.pptSunesh N.V
 
TOUCH TECHNOLOGY.ppt
TOUCH TECHNOLOGY.pptTOUCH TECHNOLOGY.ppt
TOUCH TECHNOLOGY.pptSunesh N.V
 
ELECTRIC TRACTION SYSTEMS.ppt
ELECTRIC TRACTION SYSTEMS.pptELECTRIC TRACTION SYSTEMS.ppt
ELECTRIC TRACTION SYSTEMS.pptSunesh N.V
 
arun t intc1517515.pptx
arun t intc1517515.pptxarun t intc1517515.pptx
arun t intc1517515.pptxSunesh N.V
 
smart quill pen.pptx
smart quill pen.pptxsmart quill pen.pptx
smart quill pen.pptxSunesh N.V
 
saranyanec524.pptx
saranyanec524.pptxsaranyanec524.pptx
saranyanec524.pptxSunesh N.V
 
NEC0417530-smart quill pen.pptx
NEC0417530-smart quill pen.pptxNEC0417530-smart quill pen.pptx
NEC0417530-smart quill pen.pptxSunesh N.V
 

More from Sunesh N.V (20)

02-diaphragm-master_Dr.Sanaa.ppt
02-diaphragm-master_Dr.Sanaa.ppt02-diaphragm-master_Dr.Sanaa.ppt
02-diaphragm-master_Dr.Sanaa.ppt
 
Quantam.pptx
Quantam.pptxQuantam.pptx
Quantam.pptx
 
UPDown fading.pptx
UPDown fading.pptxUPDown fading.pptx
UPDown fading.pptx
 
DINOOP R INTC1517518.pptx
DINOOP R  INTC1517518.pptxDINOOP R  INTC1517518.pptx
DINOOP R INTC1517518.pptx
 
NRAM-HKM.ppt
NRAM-HKM.pptNRAM-HKM.ppt
NRAM-HKM.ppt
 
FM _Sunesh.pptx
FM _Sunesh.pptxFM _Sunesh.pptx
FM _Sunesh.pptx
 
555 Timer Based Circuit.pptx
555 Timer Based Circuit.pptx555 Timer Based Circuit.pptx
555 Timer Based Circuit.pptx
 
Panic Alarm Circuit.pptx
Panic Alarm Circuit.pptxPanic Alarm Circuit.pptx
Panic Alarm Circuit.pptx
 
VoLTE.pptx
VoLTE.pptxVoLTE.pptx
VoLTE.pptx
 
RASPBERRY PI SPEAKING BUS STOP REMINDER- Copy.pptx
RASPBERRY PI  SPEAKING BUS STOP REMINDER- Copy.pptxRASPBERRY PI  SPEAKING BUS STOP REMINDER- Copy.pptx
RASPBERRY PI SPEAKING BUS STOP REMINDER- Copy.pptx
 
nano.pptx
nano.pptxnano.pptx
nano.pptx
 
WEEKEND PROJECT.pptx
WEEKEND PROJECT.pptxWEEKEND PROJECT.pptx
WEEKEND PROJECT.pptx
 
1549507642878_lect15_cloud.ppt
1549507642878_lect15_cloud.ppt1549507642878_lect15_cloud.ppt
1549507642878_lect15_cloud.ppt
 
phoNet.pptx
phoNet.pptxphoNet.pptx
phoNet.pptx
 
TOUCH TECHNOLOGY.ppt
TOUCH TECHNOLOGY.pptTOUCH TECHNOLOGY.ppt
TOUCH TECHNOLOGY.ppt
 
ELECTRIC TRACTION SYSTEMS.ppt
ELECTRIC TRACTION SYSTEMS.pptELECTRIC TRACTION SYSTEMS.ppt
ELECTRIC TRACTION SYSTEMS.ppt
 
arun t intc1517515.pptx
arun t intc1517515.pptxarun t intc1517515.pptx
arun t intc1517515.pptx
 
smart quill pen.pptx
smart quill pen.pptxsmart quill pen.pptx
smart quill pen.pptx
 
saranyanec524.pptx
saranyanec524.pptxsaranyanec524.pptx
saranyanec524.pptx
 
NEC0417530-smart quill pen.pptx
NEC0417530-smart quill pen.pptxNEC0417530-smart quill pen.pptx
NEC0417530-smart quill pen.pptx
 

Recently uploaded

SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 

Recently uploaded (20)

SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 

Routing.ppt

  • 1. 1 Physical design – overall flow Placement Cost Estimation Routing Global Routing Compaction/clean-up Detailed Routing Cost Estimation Floorplanning Partitioning Improvement Cost Estimation Improvement Improvement
  • 2. Input to Routing Phase • Netlist • Timing budget for nets, typically for critical nets. • Placement information including  location of blocks locations of pins on the block boundary as well as on top • RC delay per unit length on each metal layer • RC delay for each via
  • 3. Objective • Objective of routing depends upon nature of the chip.  General purpose chips it is sufficient to minimize the total wire length  For high performance chips it is important to route each net such that it meets its timing budget. Usually routing involves special treatment of typical Nets clock nets, power and ground nets These nets are routed separately by special routers
  • 7. Classification of Global Routing Algorithms 1.Sequential Approach Two-terminal algorithms: • i. Maze routing algorithms • ii. Line-probe algorithms • iii. Shortest path based algorithms Multi-terminal algorithms: • i. Steiner tree based algorithms 2.Concurrent Approach
  • 8. THE LEE ALGORITHM FOR MAZE ROUTING • The Lee algorithm is a classical routing technique. • Lee introduced an algorithm for routing a two terminal net on a grid in 1961. • Maze routing algorithms are used to find a path between a pair of points, called the source(s) and the target(t)
  • 9. Maze Routing - The Lee Algorithm • Treat routing surface as a grid • Algorithm Operation – Wave propagation - starting with “source” terminal, label neighboring nodes with shortest path back to source; stop when target reached – Backtrace - follow shortest path from target back to source – Cleanup - remove labels S T N N
  • 10. Continued Main points: • the routing area is a grid of squares • the goal is to connect all nets. • a two-point connection is realized by propagating a wave front from the source terminal outwards until the target terminal is reached.
  • 11. Continued • the shortest-connection is found by backtracking from the target to the source. • in the case of multi-terminal nets: first two terminals are connected, this connection is the target for the wave propagation from the third terminal, etc. • a routed net is an obstacle for the next nets
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17. Reducing Memory Requirement • ˙ Akers's Observations (1967) – Adjacent labels for k are either k-1 or k+1. – Want a labeling scheme such that each label has its preceding label different from its succeeding label. • ˙Way 1: coding sequence 1, 2, 3, 1, 2, 3, …; states: 1, 2, 3, empty, blocked • ˙Way 2: coding sequence 1, 1, 2, 2, 1, 1, 2, 2, …; states: 1, 2, empty, blocked
  • 18.
  • 19.
  • 20.
  • 21. Evaluation • the algorithm always finds a connection if a connection exists. • for two-terminal nets, this connection is the shortest possible; for multi-terminal nets the connection need not be the shortest possible • it can be generalized for multiple layers: wave front expansion in three dimensions • the quality of its result strongly depends on the ordering of the nets.
  • 22. • ˙Strengths – Guarantee to find connection between 2 terminals if it exists. – Guarantee minimum path. • ˙Weaknesses – Requires large memory for dense layout. – Slow. • ˙Applications: – global routing, detailed routing.