SlideShare a Scribd company logo
VHDL Tutorial AHMED ABDELKAREEM
VHDL Tutorial
By / Ahmed Abdelkareem
‫الـ‬ ‫لغة‬ ‫تستخدم‬VHDL‫الـ‬ ‫توصيف‬ ‫في‬digital circuits or Logic gates
‫الـ‬ ‫نعلم‬ ‫نقدر‬ ‫دي‬ ‫اللغة‬ ‫باستخدام‬ ‫يعني‬FPGA kit‫الـ‬Logic gate‫اللي‬ ‫الرقمية‬ ‫الدايرة‬ ‫او‬
‫الـ‬ ‫نحدد‬ ‫بحيث‬ ‫نعملها‬ ‫عايزين‬ ‫احنا‬inputs‫الـ‬ ‫و‬outputs‫باللغة‬ ‫بينهم‬ ‫العالقة‬ ‫و‬ ‫بتوعنا‬
.‫دي‬
‫نعمل‬ ‫عايزين‬ ‫نفترض‬ : ‫مثال‬AND gate‫الـ‬ ‫باستخدام‬VHDL‫انها‬ ‫هنفترض‬2 input and
gate‫واحد‬ ‫خرج‬ ‫طبعا‬ ‫ليها‬ ‫و‬.
‫الـ‬ ‫لغة‬VHDL‫حاجتين‬ ‫من‬ ‫بتتكون‬: ‫هما‬ ‫و‬ ‫مهمين‬
ENTITY‫للـ‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫توصيف‬ ‫هي‬ ‫و‬ :gate.‫فقط‬
ARCHITECTURE‫الـ‬ ‫بتوع‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بين‬ ‫العالقة‬ ‫توصيف‬ ‫هي‬ ‫و‬ :ENTITY
‫ازاي‬ ‫الكود‬ ‫هنكتب‬ ‫نشوف‬ ‫تعالوا‬
‫بنكتبه‬ ‫كود‬ ‫اي‬ ‫بداية‬ ‫في‬ ‫دول‬ ‫السطرين‬ ‫بنكتب‬ ‫أوال‬
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
VHDL Tutorial AHMED ABDELKAREEM
‫ال‬ ‫مكتبة‬ ‫بنستدعي‬ ‫اننا‬ ‫معناه‬ ‫االول‬ ‫السطر‬ieee
‫ال‬‫دي‬ ‫المكتبة‬ ‫من‬ ‫بنستدعي‬ ‫اننا‬ ‫معناه‬ ‫التاني‬ ‫سطر‬package‫اسمها‬ ‫معينة‬std_logic_1164
‫كلمة‬ ‫و‬all‫الـ‬ ‫في‬ ‫المتاحة‬ ‫االوامر‬ ‫كل‬ ‫بنستدعي‬ ‫اننا‬ ‫معناها‬ ‫دي‬ ‫االخر‬ ‫في‬ ‫اللي‬package‫دي‬
‫ال‬ ‫بنعرف‬ ‫كدة‬ ‫بعد‬ENTITY‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بتوصف‬ ‫هي‬ ‫اللي‬.
ENTITY mygate IS
PORT(
x,y : IN STD_LOGIC;
z : OUT STD_LOGIC
);
END mygate;
‫ال‬ ‫عرفنا‬ ‫هنا‬ENTITY‫اسم‬ ‫ادينالها‬ ‫و‬mygate‫استعملنا‬ ‫جواها‬ ‫و‬function‫اسمها‬PORT
‫بتاعنا‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫نعرف‬ ‫عشان‬‫ال‬ ‫عرفنا‬ ‫هنا‬ ‫طبعا‬ .x,y‫ال‬ ‫و‬ ‫دخل‬ ‫انهم‬z.‫خرج‬ ‫انها‬
‫ال‬ ‫نعرف‬ ‫كدة‬ ‫بعد‬ARCHITECTURE
ARCHITECTURE myarchitecture FOR mygate IS
BEGIN
z <= x AND y;
END myarchitecture;
VHDL Tutorial AHMED ABDELKAREEM
‫عملنا‬ ‫هنا‬ARCHITECTURE‫سمينها‬ ‫و‬myarchitecture‫للـ‬ ‫خصصناها‬ ‫و‬ENTITY
‫اسمها‬ ‫اللي‬mygate‫من‬ ‫اكتر‬ ‫عندي‬ ‫يكون‬ ‫ممكن‬ ‫الن‬ENTITY‫فيهم‬ ‫واحده‬ ‫لكل‬ ‫و‬ ‫الكود‬ ‫في‬
‫الـ‬ARCHITECTURE‫بتاعها‬‫الخرج‬ ‫ان‬ ‫هي‬ ‫و‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بين‬ ‫العالقة‬ ‫عرفنا‬ ‫هنا‬ ‫.احنا‬
‫عالقة‬ ‫بيساوي‬AND‫الـ‬ ‫بين‬x,y.‫ال‬ ‫و‬ ‫هي‬ ‫طبعا‬ENTITY‫ما‬ ‫زي‬ ‫حاجة‬ ‫اي‬ ‫نسميهم‬ ‫ممكن‬
. ‫عايزين‬ ‫احنا‬
‫النهائي‬ ‫الكود‬
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
ENTITY mygate IS
PORT(
x,y : IN STD_LOGIC;
z : OUT STD_LOGIC
);
END mygate;
ARCHITECTURE myarchitecture FOR mygate IS
BEGIN
z <= x AND y;
END myarchitecture;
E-mail: eng.a7mad93@gmail.com

More Related Content

What's hot

SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV Designing Embedded System with 8051...
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV  Designing Embedded System with 8051...SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV  Designing Embedded System with 8051...
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV Designing Embedded System with 8051...
Arti Parab Academics
 
Lecture 04 branch call and time delay
Lecture 04  branch call and time delayLecture 04  branch call and time delay
Lecture 04 branch call and time delay
Vajira Thambawita
 
RISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van NeumannRISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van Neumann
Ravikumar Tiwari
 
VHDL Reference - FSM
VHDL Reference -  FSMVHDL Reference -  FSM
VHDL Reference - FSM
Eslam Mohammed
 
Parallel Processors (SIMD)
Parallel Processors (SIMD) Parallel Processors (SIMD)
Parallel Processors (SIMD)
Ali Raza
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
Revathi Subramaniam
 
Language processor
Language processorLanguage processor
Language processor
Abha Damani
 
RTL-Design for beginners
RTL-Design  for beginnersRTL-Design  for beginners
RTL-Design for beginners
Dr.YNM
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
Ricardo Castro
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
Gouthaman V
 
arduino
 arduino arduino
arduino
jhcid
 
Finite state machines
Finite state machinesFinite state machines
Finite state machines
dennis gookyi
 
Keil tutorial
Keil tutorialKeil tutorial
Keil tutorial
anishgoel
 
Introduction to TCL Programming : Tcl/Tk by Gaurav Roy
Introduction to TCL Programming : Tcl/Tk by Gaurav RoyIntroduction to TCL Programming : Tcl/Tk by Gaurav Roy
Introduction to TCL Programming : Tcl/Tk by Gaurav Roy
Gaurav Ray
 
Arm modes
Arm modesArm modes
Arm modes
abhi165
 
Embedded system
Embedded systemEmbedded system
Embedded system
Ganesh Vadulekar
 
Embedded system design using arduino
Embedded system design using arduinoEmbedded system design using arduino
Embedded system design using arduino
Santosh Verma
 
Processor Organization and Architecture
Processor Organization and ArchitectureProcessor Organization and Architecture
Processor Organization and Architecture
Vinit Raut
 
Risc & cisk
Risc & ciskRisc & cisk
Segment registers
Segment registersSegment registers
Segment registers
maamir farooq
 

What's hot (20)

SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV Designing Embedded System with 8051...
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV  Designing Embedded System with 8051...SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV  Designing Embedded System with 8051...
SYBSC IT SEM IV EMBEDDED SYSTEMS UNIT IV Designing Embedded System with 8051...
 
Lecture 04 branch call and time delay
Lecture 04  branch call and time delayLecture 04  branch call and time delay
Lecture 04 branch call and time delay
 
RISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van NeumannRISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van Neumann
 
VHDL Reference - FSM
VHDL Reference -  FSMVHDL Reference -  FSM
VHDL Reference - FSM
 
Parallel Processors (SIMD)
Parallel Processors (SIMD) Parallel Processors (SIMD)
Parallel Processors (SIMD)
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Language processor
Language processorLanguage processor
Language processor
 
RTL-Design for beginners
RTL-Design  for beginnersRTL-Design  for beginners
RTL-Design for beginners
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
 
arduino
 arduino arduino
arduino
 
Finite state machines
Finite state machinesFinite state machines
Finite state machines
 
Keil tutorial
Keil tutorialKeil tutorial
Keil tutorial
 
Introduction to TCL Programming : Tcl/Tk by Gaurav Roy
Introduction to TCL Programming : Tcl/Tk by Gaurav RoyIntroduction to TCL Programming : Tcl/Tk by Gaurav Roy
Introduction to TCL Programming : Tcl/Tk by Gaurav Roy
 
Arm modes
Arm modesArm modes
Arm modes
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Embedded system design using arduino
Embedded system design using arduinoEmbedded system design using arduino
Embedded system design using arduino
 
Processor Organization and Architecture
Processor Organization and ArchitectureProcessor Organization and Architecture
Processor Organization and Architecture
 
Risc & cisk
Risc & ciskRisc & cisk
Risc & cisk
 
Segment registers
Segment registersSegment registers
Segment registers
 

Viewers also liked

Paragliding
ParaglidingParagliding
Paragliding
aircraft80
 
Bayaweaver campaign
Bayaweaver campaignBayaweaver campaign
Bayaweaver campaign
MANISH SRIVASTTAVA
 
Identificación de iones en el suelo.
Identificación de iones en el suelo. Identificación de iones en el suelo.
Identificación de iones en el suelo.
Aline139
 
Parc_ja
Parc_jaParc_ja
Parc_ja
Nagiusa
 
Whitney Dowds Resume
Whitney Dowds Resume Whitney Dowds Resume
Whitney Dowds Resume
Whitney Dowds
 
1 этап весна 2015 eng
1 этап весна 2015 eng1 этап весна 2015 eng
1 этап весна 2015 eng
MarketSense_RF
 
Getting Started With Miles & Points
Getting Started With Miles & PointsGetting Started With Miles & Points
Getting Started With Miles & Points
Tiffany Funk
 
SEN YANG Resume
SEN YANG ResumeSEN YANG Resume
SEN YANG Resume
Sen Yang
 
Top 10 IB Career Tips 13 handout
Top 10 IB Career Tips 13 handoutTop 10 IB Career Tips 13 handout
Top 10 IB Career Tips 13 handout
William M. Moore, Jr.
 
นิชกานต์ โกฎคำ
นิชกานต์   โกฎคำนิชกานต์   โกฎคำ
นิชกานต์ โกฎคำ
Ai Nicha
 
Grass-3_rus
Grass-3_rusGrass-3_rus
Grass-3_rus
MarketSense_RF
 
Offer of services
Offer of servicesOffer of services
Offer of services
KATU ISAAC
 
Maternal, Newborn and Child Health in Tajikistan
Maternal, Newborn and Child Health in TajikistanMaternal, Newborn and Child Health in Tajikistan
Maternal, Newborn and Child Health in Tajikistan
Dr. Med. Rudoba Rahim (Rakhmatova)
 
Tecnovida-tecnologia
Tecnovida-tecnologiaTecnovida-tecnologia
Tecnovida-tecnologia
elizabeth090903
 

Viewers also liked (15)

Paragliding
ParaglidingParagliding
Paragliding
 
Bayaweaver campaign
Bayaweaver campaignBayaweaver campaign
Bayaweaver campaign
 
Identificación de iones en el suelo.
Identificación de iones en el suelo. Identificación de iones en el suelo.
Identificación de iones en el suelo.
 
Parc_ja
Parc_jaParc_ja
Parc_ja
 
Whitney Dowds Resume
Whitney Dowds Resume Whitney Dowds Resume
Whitney Dowds Resume
 
1 этап весна 2015 eng
1 этап весна 2015 eng1 этап весна 2015 eng
1 этап весна 2015 eng
 
Getting Started With Miles & Points
Getting Started With Miles & PointsGetting Started With Miles & Points
Getting Started With Miles & Points
 
SEN YANG Resume
SEN YANG ResumeSEN YANG Resume
SEN YANG Resume
 
Top 10 IB Career Tips 13 handout
Top 10 IB Career Tips 13 handoutTop 10 IB Career Tips 13 handout
Top 10 IB Career Tips 13 handout
 
นิชกานต์ โกฎคำ
นิชกานต์   โกฎคำนิชกานต์   โกฎคำ
นิชกานต์ โกฎคำ
 
COLIN CV - 2015
COLIN CV - 2015COLIN CV - 2015
COLIN CV - 2015
 
Grass-3_rus
Grass-3_rusGrass-3_rus
Grass-3_rus
 
Offer of services
Offer of servicesOffer of services
Offer of services
 
Maternal, Newborn and Child Health in Tajikistan
Maternal, Newborn and Child Health in TajikistanMaternal, Newborn and Child Health in Tajikistan
Maternal, Newborn and Child Health in Tajikistan
 
Tecnovida-tecnologia
Tecnovida-tecnologiaTecnovida-tecnologia
Tecnovida-tecnologia
 

تعلم VHDL

  • 1. VHDL Tutorial AHMED ABDELKAREEM VHDL Tutorial By / Ahmed Abdelkareem ‫الـ‬ ‫لغة‬ ‫تستخدم‬VHDL‫الـ‬ ‫توصيف‬ ‫في‬digital circuits or Logic gates ‫الـ‬ ‫نعلم‬ ‫نقدر‬ ‫دي‬ ‫اللغة‬ ‫باستخدام‬ ‫يعني‬FPGA kit‫الـ‬Logic gate‫اللي‬ ‫الرقمية‬ ‫الدايرة‬ ‫او‬ ‫الـ‬ ‫نحدد‬ ‫بحيث‬ ‫نعملها‬ ‫عايزين‬ ‫احنا‬inputs‫الـ‬ ‫و‬outputs‫باللغة‬ ‫بينهم‬ ‫العالقة‬ ‫و‬ ‫بتوعنا‬ .‫دي‬ ‫نعمل‬ ‫عايزين‬ ‫نفترض‬ : ‫مثال‬AND gate‫الـ‬ ‫باستخدام‬VHDL‫انها‬ ‫هنفترض‬2 input and gate‫واحد‬ ‫خرج‬ ‫طبعا‬ ‫ليها‬ ‫و‬. ‫الـ‬ ‫لغة‬VHDL‫حاجتين‬ ‫من‬ ‫بتتكون‬: ‫هما‬ ‫و‬ ‫مهمين‬ ENTITY‫للـ‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫توصيف‬ ‫هي‬ ‫و‬ :gate.‫فقط‬ ARCHITECTURE‫الـ‬ ‫بتوع‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بين‬ ‫العالقة‬ ‫توصيف‬ ‫هي‬ ‫و‬ :ENTITY ‫ازاي‬ ‫الكود‬ ‫هنكتب‬ ‫نشوف‬ ‫تعالوا‬ ‫بنكتبه‬ ‫كود‬ ‫اي‬ ‫بداية‬ ‫في‬ ‫دول‬ ‫السطرين‬ ‫بنكتب‬ ‫أوال‬ LIBRARY IEEE; USE ieee.std_logic_1164.all;
  • 2. VHDL Tutorial AHMED ABDELKAREEM ‫ال‬ ‫مكتبة‬ ‫بنستدعي‬ ‫اننا‬ ‫معناه‬ ‫االول‬ ‫السطر‬ieee ‫ال‬‫دي‬ ‫المكتبة‬ ‫من‬ ‫بنستدعي‬ ‫اننا‬ ‫معناه‬ ‫التاني‬ ‫سطر‬package‫اسمها‬ ‫معينة‬std_logic_1164 ‫كلمة‬ ‫و‬all‫الـ‬ ‫في‬ ‫المتاحة‬ ‫االوامر‬ ‫كل‬ ‫بنستدعي‬ ‫اننا‬ ‫معناها‬ ‫دي‬ ‫االخر‬ ‫في‬ ‫اللي‬package‫دي‬ ‫ال‬ ‫بنعرف‬ ‫كدة‬ ‫بعد‬ENTITY‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بتوصف‬ ‫هي‬ ‫اللي‬. ENTITY mygate IS PORT( x,y : IN STD_LOGIC; z : OUT STD_LOGIC ); END mygate; ‫ال‬ ‫عرفنا‬ ‫هنا‬ENTITY‫اسم‬ ‫ادينالها‬ ‫و‬mygate‫استعملنا‬ ‫جواها‬ ‫و‬function‫اسمها‬PORT ‫بتاعنا‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫نعرف‬ ‫عشان‬‫ال‬ ‫عرفنا‬ ‫هنا‬ ‫طبعا‬ .x,y‫ال‬ ‫و‬ ‫دخل‬ ‫انهم‬z.‫خرج‬ ‫انها‬ ‫ال‬ ‫نعرف‬ ‫كدة‬ ‫بعد‬ARCHITECTURE ARCHITECTURE myarchitecture FOR mygate IS BEGIN z <= x AND y; END myarchitecture;
  • 3. VHDL Tutorial AHMED ABDELKAREEM ‫عملنا‬ ‫هنا‬ARCHITECTURE‫سمينها‬ ‫و‬myarchitecture‫للـ‬ ‫خصصناها‬ ‫و‬ENTITY ‫اسمها‬ ‫اللي‬mygate‫من‬ ‫اكتر‬ ‫عندي‬ ‫يكون‬ ‫ممكن‬ ‫الن‬ENTITY‫فيهم‬ ‫واحده‬ ‫لكل‬ ‫و‬ ‫الكود‬ ‫في‬ ‫الـ‬ARCHITECTURE‫بتاعها‬‫الخرج‬ ‫ان‬ ‫هي‬ ‫و‬ ‫الخرج‬ ‫و‬ ‫الدخل‬ ‫بين‬ ‫العالقة‬ ‫عرفنا‬ ‫هنا‬ ‫.احنا‬ ‫عالقة‬ ‫بيساوي‬AND‫الـ‬ ‫بين‬x,y.‫ال‬ ‫و‬ ‫هي‬ ‫طبعا‬ENTITY‫ما‬ ‫زي‬ ‫حاجة‬ ‫اي‬ ‫نسميهم‬ ‫ممكن‬ . ‫عايزين‬ ‫احنا‬ ‫النهائي‬ ‫الكود‬ LIBRARY IEEE; USE ieee.std_logic_1164.all; ENTITY mygate IS PORT( x,y : IN STD_LOGIC; z : OUT STD_LOGIC ); END mygate; ARCHITECTURE myarchitecture FOR mygate IS BEGIN z <= x AND y; END myarchitecture; E-mail: eng.a7mad93@gmail.com