SlideShare a Scribd company logo
1 of 9
Download to read offline
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 1/9
[ Verilog FAQ | Tips | Online Books | Papers | Free Stuff | Tools | Jobs | What's New ]
Sample Questions asked in Interviews
Rajesh Bawankule
Introduction :
A fresh graduate faces some tough questions in his first job interview. The questions themselves are simple but require practical
and innovative approach to solve them. I started collecting some questions from my own experience and from my friends.
Note: Please do not send me emails asking for solutions. You are not supposed to answer these questions in 10 seconds like
some university multiple choice questions. Some questions may have more than correct answers and some may not even have
correct answer :)
What matters is your approach to solution and understanding of basic hardware design principles.
Some other pages on interview questions:
1. Electrical Engineering Technical Interview Questions/Review : This page has answers too.
2. http://enpub.fulton.asu.edu/cse517/interview.html
Recently added questions
Q. Design a memory system as shown in diagram below.
Note: Only 2000 data entries will be used at a given time. Entries should be programmable by external CPU.
Q. Create "AND" gate using a 2:1 multiplexer. (Create all other gates too.)
Q. Design XOR gate using just NAND gates.
Q. Design a "Stackable First One" finder.
Design a small unit which processes just 1 bit. Design in such a way that it can be stacked to accept make input of any
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 2/9
Design a small unit which processes just 1 bit. Design in such a way that it can be stacked to accept make input of any
length.
Q Micro architect DMA controller block for given specifications
Note:
- Overall performance should be almost same as performance of Encryption Engine which is 1Gbps.
Q. Design Gray counter to count 6.
Q. Design a block to generate output according to the following timing diagram.
Q. Design a module as shown in following block diagram.
Note: channel_id is 11 bits wide to accomodate 2K entries in 2K deep SRAM. operation is 1 bit wide.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 3/9
Note: channel_id is 11 bits wide to accomodate 2K entries in 2K deep SRAM. operation is 1 bit wide.
operation = 00 means write to current location.
operation = 01 means add 1 to existing data
operation = 10 means subtract 1 from existing data in SRAM.
Do not optimize based on operation as in future operations bits can change.
Design such that you can support 1 operation every clock.
Q. Design a hardware to implement following equations without using multipliers or dividers.
out = 7x + 8y;
out = .78x + .17y;
Old Questions
Q. Create 4 bit multiplier using a ROM and what will be the size of the ROM. How can you realize it when the outputs are
specified.
Q. How can you swap 2 integers a and b, without using a 3rd variable
Q. Which one is preferred? 1's complement or 2's complement and why?
Q. Which one is preferred in FSM design? Mealy or Moore? Why?
Q. Which one is preferred in design entry? RTL coding or Schematic? Why?
Q. Design a 2 input OR gate using a 2:1 mux.
Q. Design a 2 input AND gate using a 2 input XOR gate.
Q. Design a logic which mimics a infinite width register. It takes input serially 1 bit at a time. Output is asserted high when this
register holds a value which is divisible by 5.
For example:
Input Sequence Value Output
1 1 1 0
0 10 2 0
1 101 5 1
0 1010 10 1
1 10101 21 0
(Hint: Use a FSM to create this)
Q. Design a block which has 3 inputs as followed.
1. system clock of pretty high freq
2. asynch clock input P
3. asynch clock input Q
P and Q clocks have 50% duty cycle each. Their frequencies are close enough and they have phase difference.
Design the block to generate these outputs.
1. PeqQ : goes high if periods of P and Q are same
2. PleQ : goes high if P's period is less than that of Q.
3. PgrQ : goes high if P's period is greater than that of Q.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 4/9
Q. What's the difference between a latch and a flip-flop? Write Verilog RTL code for each. (This is one of the most common
questions but still some EE's don't know how to explain it correctly!)
Q. Design a black box whose input clock and output relationship as shown in diagram.
__ __ __ __ __ __ __ __ __
clk __| |__| |__| |__| |__| |__| |__| |__| |__| |__
__ __ __ __ __
Output __| |________| |________| |________| |________| |__
Q. Design a digital circuit to delay the negative edge of the input
signal by 2 clock cycles.
______________________
input ________| |_____________
_ _ _ _ _ _ _ _ _ _ _ _ _
clock _| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_
___________________________
output _________| |___________
Q. Design a Pattern matching block
- Output is asserted if pattern "101" is detected in last 4 inputs.
- How will you modify this design if it is required to detect same "101" pattern anywhere in last
8 samples?
Questions:
Q.
The digital circuit is shown with logic delay (dly3) and two clock buffer delays (dly1, dly2).
- How will you fix setup timing violations occurring at pin B?
- How will you fix hold violations occurring at pin B?
(Hint: Change the values of three delays to get desired effect)
Q.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 5/9
Sender sends data at the rate of 80 words / 100 clocks
Receiver can consume at the rate of 8 words / 10 clocks
Calculate the depth of FIFO so that no data is dropped.
Assumptions: There is no feedback or handshake mechanism. Occurrence of data in that time period is guaranteed but exact
place in those clock cycles is indeterminate.
Q
Optical sensors A and B are positioned at 90 degrees to each other as shown in Figure. Half od the disc is white and
remaining is black. When black portion is under sensor it generates logic 0 and logic 1 when white portion is under sensor.
Design Direction finder block using digital components (flip flops and gates) to indicate speed. Logic 0 for clockwise and
Logic 1 for counter clockwise.
Q
Will this design work satisfactorily?
Assumptions: thold = tsetup = tclock_out = tclock_skew = 1ns.
After reset A = 0, B = 1
Q. Design a 4:1 mux in Verilog.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 6/9
Multiple styles of coding. e.g.
Using if-else statements
if(sel_1 == 0 && sel_0 == 0) output = I0;
else if(sel_1 == 0 && sel_0 == 1) output = I1;
else if(sel_1 == 1 && sel_0 == 0) output = I2;
else if(sel_1 == 1 && sel_0 == 1) output = I3;
Using case statement
case ({sel_1, sel_0})
00 : output = I0;
01 : output = I1;
10 : output = I2;
11 : output = I3;
default : output = I0;
endcase
What are the advantages / disadvantages of each coding style shown above?
How Synthesis tool will give result for above codes?
What happens if default statement is removed in case statement?
What happens if combination 11 and default statement is removed? (Hint Latch inference)
(Comments : Though this questions looks simple and out of text books, the answers to supporting questions can come
only after some experience / experimentation.)
Q. Design a FSM (Finite State Machine) to detect a sequence 10110.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 7/9
Have a good approach to solve the design problem.
Know the difference between Mealy, Moore, 1-Hot type of state encoding.
Each state should have output transitions for all combinations of inputs.
All states make transition to appropriate states and not to default if sequence is broken. e.g. S3 makes transition to S2 in
example shown.
Take help of FSM block diagram to write Verilog code.
Q. One more sequence detector:
Design a FSM (Finite State Machine) to detect more than one "1"s in last 3 samples.
For example: If the input sampled at clock edges is 0 1 0 1 0 1 1 0 0 1
then output should be 0 0 0 1 0 1 1 1 0 0 as shown in timing diagram.
And yes, you have to design this FSM using not more than 4 states!!
Q. Design a state machine to divide the clock by 3/2.
(Hint: 2 FSMs working on posedge and negedge)
Q. Draw timing diagrams for following circuit.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 8/9
What is the maximum frequency at which this circuit can operate?
What is the minimum width of input pulse and position?
Problem can be given interesting twist by specifying all delays in min and max types.
Q. Design a Digital Peak Detector in Verilog.
Q. Design a RZ (return to zero )circuit. Design a clock to pulse circuit in Verilog / hardware gates.
8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews
http://www.bawankule.com/verilogcenter/quest.html 9/9
Q. Miscellaneous Basic Verilog Questions:
What is the difference between Behavior modeling and RTL modeling?
What is the benefit of using Behavior modeling style over RTL modeling?
What is the difference between blocking assignments and non-blocking assignments ?
How do you implement the bi-directional ports in Verilog HDL
How to model inertial and transport delay using Verilog?
How to synchronize control signals and data between two different clock domains?
[ Verilog FAQ | Tips | Online Books | Papers | Free Stuff | Tools | Jobs | What's New ]
Copyright Rajesh Bawankule 1997-2013

More Related Content

What's hot

AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxGuckChick
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0Azad Mishra
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessRamdas Mozhikunnath
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology TutorialArrow Devices
 
Superscalar and VLIW architectures
Superscalar and VLIW architecturesSuperscalar and VLIW architectures
Superscalar and VLIW architecturesAmit Kumar Rathi
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coveragePushpa Yakkala
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomizationNirav Desai
 
Verilog Lecture2 thhts
Verilog Lecture2 thhtsVerilog Lecture2 thhts
Verilog Lecture2 thhtsBéo Tú
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test BenchDr.YNM
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesNirav Desai
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog Pushpa Yakkala
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertionsHARINATH REDDY
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsiSaransh Choudhary
 
Mealy state machine
Mealy state machineMealy state machine
Mealy state machineArif Siyal
 

What's hot (20)

AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview Success
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
Superscalar and VLIW architectures
Superscalar and VLIW architecturesSuperscalar and VLIW architectures
Superscalar and VLIW architectures
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
Verilog Lecture2 thhts
Verilog Lecture2 thhtsVerilog Lecture2 thhts
Verilog Lecture2 thhts
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
Verilog HDL CODES
Verilog HDL CODES Verilog HDL CODES
Verilog HDL CODES
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
Mealy state machine
Mealy state machineMealy state machine
Mealy state machine
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 

Similar to Verilog ques

ECET 365 Entire Course NEW
ECET 365 Entire Course NEWECET 365 Entire Course NEW
ECET 365 Entire Course NEWshyamuopuop
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsRégis SANTONJA
 
How to create a high performance excel engine in java script
How to create a high performance excel engine in java scriptHow to create a high performance excel engine in java script
How to create a high performance excel engine in java scriptViktor Turskyi
 
Oracle Database In-Memory Option in Action
Oracle Database In-Memory Option in ActionOracle Database In-Memory Option in Action
Oracle Database In-Memory Option in ActionTanel Poder
 
In Memory Database In Action by Tanel Poder and Kerry Osborne
In Memory Database In Action by Tanel Poder and Kerry OsborneIn Memory Database In Action by Tanel Poder and Kerry Osborne
In Memory Database In Action by Tanel Poder and Kerry OsborneEnkitec
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemMelissa Luster
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
Lecture-07 Modelling techniques.pdf
Lecture-07 Modelling techniques.pdfLecture-07 Modelling techniques.pdf
Lecture-07 Modelling techniques.pdfNikhilSoni177492
 
Design for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTDesign for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTjayasreenimmakuri777
 
Algorithm-RepetitionSentinellNestedLoop_Solution.pptx
Algorithm-RepetitionSentinellNestedLoop_Solution.pptxAlgorithm-RepetitionSentinellNestedLoop_Solution.pptx
Algorithm-RepetitionSentinellNestedLoop_Solution.pptxAliaaAqilah3
 
Programmable Logic Array
Programmable Logic Array Programmable Logic Array
Programmable Logic Array Sharun Rajeev
 
DVLSI_project_presentation_template.pptx
DVLSI_project_presentation_template.pptxDVLSI_project_presentation_template.pptx
DVLSI_project_presentation_template.pptxAkshitAgiwal1
 
Final Exam Questions Fall03
Final Exam Questions Fall03Final Exam Questions Fall03
Final Exam Questions Fall03Radu_Negulescu
 
Intel Cluster Poisson Solver Library
Intel Cluster Poisson Solver LibraryIntel Cluster Poisson Solver Library
Intel Cluster Poisson Solver LibraryIlya Kryukov
 
100500 способов кэширования в Oracle Database или как достичь максимальной ск...
100500 способов кэширования в Oracle Database или как достичь максимальной ск...100500 способов кэширования в Oracle Database или как достичь максимальной ск...
100500 способов кэширования в Oracle Database или как достичь максимальной ск...Ontico
 
Oracle result cache highload 2017
Oracle result cache highload 2017Oracle result cache highload 2017
Oracle result cache highload 2017Alexander Tokarev
 
utPLSQL: Unit Testing for Oracle PL/SQL
utPLSQL: Unit Testing for Oracle PL/SQLutPLSQL: Unit Testing for Oracle PL/SQL
utPLSQL: Unit Testing for Oracle PL/SQLSteven Feuerstein
 

Similar to Verilog ques (20)

ECET 365 Entire Course NEW
ECET 365 Entire Course NEWECET 365 Entire Course NEW
ECET 365 Entire Course NEW
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
How to create a high performance excel engine in java script
How to create a high performance excel engine in java scriptHow to create a high performance excel engine in java script
How to create a high performance excel engine in java script
 
Using AWR for SQL Analysis
Using AWR for SQL AnalysisUsing AWR for SQL Analysis
Using AWR for SQL Analysis
 
Oracle Database In-Memory Option in Action
Oracle Database In-Memory Option in ActionOracle Database In-Memory Option in Action
Oracle Database In-Memory Option in Action
 
In Memory Database In Action by Tanel Poder and Kerry Osborne
In Memory Database In Action by Tanel Poder and Kerry OsborneIn Memory Database In Action by Tanel Poder and Kerry Osborne
In Memory Database In Action by Tanel Poder and Kerry Osborne
 
lect18.pdf
lect18.pdflect18.pdf
lect18.pdf
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging System
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Lecture-07 Modelling techniques.pdf
Lecture-07 Modelling techniques.pdfLecture-07 Modelling techniques.pdf
Lecture-07 Modelling techniques.pdf
 
Design for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTDesign for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFT
 
Nested loop join technique - part2
Nested loop join technique - part2Nested loop join technique - part2
Nested loop join technique - part2
 
Algorithm-RepetitionSentinellNestedLoop_Solution.pptx
Algorithm-RepetitionSentinellNestedLoop_Solution.pptxAlgorithm-RepetitionSentinellNestedLoop_Solution.pptx
Algorithm-RepetitionSentinellNestedLoop_Solution.pptx
 
Programmable Logic Array
Programmable Logic Array Programmable Logic Array
Programmable Logic Array
 
DVLSI_project_presentation_template.pptx
DVLSI_project_presentation_template.pptxDVLSI_project_presentation_template.pptx
DVLSI_project_presentation_template.pptx
 
Final Exam Questions Fall03
Final Exam Questions Fall03Final Exam Questions Fall03
Final Exam Questions Fall03
 
Intel Cluster Poisson Solver Library
Intel Cluster Poisson Solver LibraryIntel Cluster Poisson Solver Library
Intel Cluster Poisson Solver Library
 
100500 способов кэширования в Oracle Database или как достичь максимальной ск...
100500 способов кэширования в Oracle Database или как достичь максимальной ск...100500 способов кэширования в Oracle Database или как достичь максимальной ск...
100500 способов кэширования в Oracle Database или как достичь максимальной ск...
 
Oracle result cache highload 2017
Oracle result cache highload 2017Oracle result cache highload 2017
Oracle result cache highload 2017
 
utPLSQL: Unit Testing for Oracle PL/SQL
utPLSQL: Unit Testing for Oracle PL/SQLutPLSQL: Unit Testing for Oracle PL/SQL
utPLSQL: Unit Testing for Oracle PL/SQL
 

Recently uploaded

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 

Recently uploaded (20)

DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 

Verilog ques

  • 1. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 1/9 [ Verilog FAQ | Tips | Online Books | Papers | Free Stuff | Tools | Jobs | What's New ] Sample Questions asked in Interviews Rajesh Bawankule Introduction : A fresh graduate faces some tough questions in his first job interview. The questions themselves are simple but require practical and innovative approach to solve them. I started collecting some questions from my own experience and from my friends. Note: Please do not send me emails asking for solutions. You are not supposed to answer these questions in 10 seconds like some university multiple choice questions. Some questions may have more than correct answers and some may not even have correct answer :) What matters is your approach to solution and understanding of basic hardware design principles. Some other pages on interview questions: 1. Electrical Engineering Technical Interview Questions/Review : This page has answers too. 2. http://enpub.fulton.asu.edu/cse517/interview.html Recently added questions Q. Design a memory system as shown in diagram below. Note: Only 2000 data entries will be used at a given time. Entries should be programmable by external CPU. Q. Create "AND" gate using a 2:1 multiplexer. (Create all other gates too.) Q. Design XOR gate using just NAND gates. Q. Design a "Stackable First One" finder. Design a small unit which processes just 1 bit. Design in such a way that it can be stacked to accept make input of any
  • 2. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 2/9 Design a small unit which processes just 1 bit. Design in such a way that it can be stacked to accept make input of any length. Q Micro architect DMA controller block for given specifications Note: - Overall performance should be almost same as performance of Encryption Engine which is 1Gbps. Q. Design Gray counter to count 6. Q. Design a block to generate output according to the following timing diagram. Q. Design a module as shown in following block diagram. Note: channel_id is 11 bits wide to accomodate 2K entries in 2K deep SRAM. operation is 1 bit wide.
  • 3. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 3/9 Note: channel_id is 11 bits wide to accomodate 2K entries in 2K deep SRAM. operation is 1 bit wide. operation = 00 means write to current location. operation = 01 means add 1 to existing data operation = 10 means subtract 1 from existing data in SRAM. Do not optimize based on operation as in future operations bits can change. Design such that you can support 1 operation every clock. Q. Design a hardware to implement following equations without using multipliers or dividers. out = 7x + 8y; out = .78x + .17y; Old Questions Q. Create 4 bit multiplier using a ROM and what will be the size of the ROM. How can you realize it when the outputs are specified. Q. How can you swap 2 integers a and b, without using a 3rd variable Q. Which one is preferred? 1's complement or 2's complement and why? Q. Which one is preferred in FSM design? Mealy or Moore? Why? Q. Which one is preferred in design entry? RTL coding or Schematic? Why? Q. Design a 2 input OR gate using a 2:1 mux. Q. Design a 2 input AND gate using a 2 input XOR gate. Q. Design a logic which mimics a infinite width register. It takes input serially 1 bit at a time. Output is asserted high when this register holds a value which is divisible by 5. For example: Input Sequence Value Output 1 1 1 0 0 10 2 0 1 101 5 1 0 1010 10 1 1 10101 21 0 (Hint: Use a FSM to create this) Q. Design a block which has 3 inputs as followed. 1. system clock of pretty high freq 2. asynch clock input P 3. asynch clock input Q P and Q clocks have 50% duty cycle each. Their frequencies are close enough and they have phase difference. Design the block to generate these outputs. 1. PeqQ : goes high if periods of P and Q are same 2. PleQ : goes high if P's period is less than that of Q. 3. PgrQ : goes high if P's period is greater than that of Q.
  • 4. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 4/9 Q. What's the difference between a latch and a flip-flop? Write Verilog RTL code for each. (This is one of the most common questions but still some EE's don't know how to explain it correctly!) Q. Design a black box whose input clock and output relationship as shown in diagram. __ __ __ __ __ __ __ __ __ clk __| |__| |__| |__| |__| |__| |__| |__| |__| |__ __ __ __ __ __ Output __| |________| |________| |________| |________| |__ Q. Design a digital circuit to delay the negative edge of the input signal by 2 clock cycles. ______________________ input ________| |_____________ _ _ _ _ _ _ _ _ _ _ _ _ _ clock _| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_ ___________________________ output _________| |___________ Q. Design a Pattern matching block - Output is asserted if pattern "101" is detected in last 4 inputs. - How will you modify this design if it is required to detect same "101" pattern anywhere in last 8 samples? Questions: Q. The digital circuit is shown with logic delay (dly3) and two clock buffer delays (dly1, dly2). - How will you fix setup timing violations occurring at pin B? - How will you fix hold violations occurring at pin B? (Hint: Change the values of three delays to get desired effect) Q.
  • 5. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 5/9 Sender sends data at the rate of 80 words / 100 clocks Receiver can consume at the rate of 8 words / 10 clocks Calculate the depth of FIFO so that no data is dropped. Assumptions: There is no feedback or handshake mechanism. Occurrence of data in that time period is guaranteed but exact place in those clock cycles is indeterminate. Q Optical sensors A and B are positioned at 90 degrees to each other as shown in Figure. Half od the disc is white and remaining is black. When black portion is under sensor it generates logic 0 and logic 1 when white portion is under sensor. Design Direction finder block using digital components (flip flops and gates) to indicate speed. Logic 0 for clockwise and Logic 1 for counter clockwise. Q Will this design work satisfactorily? Assumptions: thold = tsetup = tclock_out = tclock_skew = 1ns. After reset A = 0, B = 1 Q. Design a 4:1 mux in Verilog.
  • 6. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 6/9 Multiple styles of coding. e.g. Using if-else statements if(sel_1 == 0 && sel_0 == 0) output = I0; else if(sel_1 == 0 && sel_0 == 1) output = I1; else if(sel_1 == 1 && sel_0 == 0) output = I2; else if(sel_1 == 1 && sel_0 == 1) output = I3; Using case statement case ({sel_1, sel_0}) 00 : output = I0; 01 : output = I1; 10 : output = I2; 11 : output = I3; default : output = I0; endcase What are the advantages / disadvantages of each coding style shown above? How Synthesis tool will give result for above codes? What happens if default statement is removed in case statement? What happens if combination 11 and default statement is removed? (Hint Latch inference) (Comments : Though this questions looks simple and out of text books, the answers to supporting questions can come only after some experience / experimentation.) Q. Design a FSM (Finite State Machine) to detect a sequence 10110.
  • 7. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 7/9 Have a good approach to solve the design problem. Know the difference between Mealy, Moore, 1-Hot type of state encoding. Each state should have output transitions for all combinations of inputs. All states make transition to appropriate states and not to default if sequence is broken. e.g. S3 makes transition to S2 in example shown. Take help of FSM block diagram to write Verilog code. Q. One more sequence detector: Design a FSM (Finite State Machine) to detect more than one "1"s in last 3 samples. For example: If the input sampled at clock edges is 0 1 0 1 0 1 1 0 0 1 then output should be 0 0 0 1 0 1 1 1 0 0 as shown in timing diagram. And yes, you have to design this FSM using not more than 4 states!! Q. Design a state machine to divide the clock by 3/2. (Hint: 2 FSMs working on posedge and negedge) Q. Draw timing diagrams for following circuit.
  • 8. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 8/9 What is the maximum frequency at which this circuit can operate? What is the minimum width of input pulse and position? Problem can be given interesting twist by specifying all delays in min and max types. Q. Design a Digital Peak Detector in Verilog. Q. Design a RZ (return to zero )circuit. Design a clock to pulse circuit in Verilog / hardware gates.
  • 9. 8/11/2014 Rajesh Bawankule's Verilog Center : Sample Questions Asked in Interviews http://www.bawankule.com/verilogcenter/quest.html 9/9 Q. Miscellaneous Basic Verilog Questions: What is the difference between Behavior modeling and RTL modeling? What is the benefit of using Behavior modeling style over RTL modeling? What is the difference between blocking assignments and non-blocking assignments ? How do you implement the bi-directional ports in Verilog HDL How to model inertial and transport delay using Verilog? How to synchronize control signals and data between two different clock domains? [ Verilog FAQ | Tips | Online Books | Papers | Free Stuff | Tools | Jobs | What's New ] Copyright Rajesh Bawankule 1997-2013