SlideShare a Scribd company logo
1 of 25
May 4, 2011 1May 4, 2011 1
Interoperable IP Delivery
ChipEx2011 Presentation
Rev. 1.0
Created by
Jerry Kaczynski,
ALDEC Research Engineer
Presented by
Ofer Shragay,
Sital Technology Sr. FAE
May 4, 2011 22 May 4, 2011 2
Agenda
• Introduction
• Traditional Methods of IP Delivery
• Benefits of Source Encryption
• Basic Source Encryption System
• Proposed Enhancements
May 4, 2011 33 May 4, 2011 3
Introduction
• Intellectual Property is a tricky business:
 IP creators spend lots of time developing it and want
to get paid for their work, so they demand secure
methods of IP delivery to selected users/tools.
 IP users want to be able to configure and use models
they paid for without hassle.
 Tool vendors want to support high quality IP, but
do not want to support too many methods of delivery.
• Some traditional methods of IP delivery were so ‘secure’ that they
became almost impossible to manage by creators and users.
• Other methods were so simplistic that they could be compared to
hiding the house key under the doormat…
May 4, 2011 4May 4, 2011 4
TRADITIONAL METHODS OF IP DELIVERY
Quick Overview
May 4, 2011 55 May 4, 2011 5
Source Obfuscation
• Source obfuscation is the method of replacing meaningful
names in the source with something hard to read and
intentionally confusing.
• Source obfuscation is an example of “security through
obscurity”, i.e. not really secure method of IP delivery.
• Source obfuscation was acceptable for end users and
tool vendors, but not good for IP creators.
• Related tool-specific source encryption methods were more secure
than obfuscation, but difficult to manage.
May 4, 2011 66 May 4, 2011 6
Binary Delivery
• Some EDA tools have native, binary library formats, usually enhanced
with protection mechanisms that prevent debugging.
• IP can be delivered in tool-specific binary format, but the only group of
people happy with this solution are users of that specific tool. Popular IP
vendor cannot be happy, because multiple tools must be supported…
• There were some ‘universal’ binary formats, but they created nightmares
on the end-user side. FPGA users remember one
solution from this group: after configuring model
library for vendor “A” it stopped working in
vendor “X” tools and reconfiguring it back to
vendor “X” support disabled it in vendor “A”
tools…
May 4, 2011 7May 4, 2011 7
BENEFITS OF SOURCE ENCRYPTION
How to Be Fast and Secure
May 4, 2011 88 May 4, 2011 8
Three Cryptosystems
• Cryptosystem suitable for source encryption can be based on symmetric
ciphers, asymmetric ciphers or be a hybrid of the two.
• All modern ciphers are secure if keys are long enough.
• Symmetric cipher cryptosystems are fast and easy
to implement, but their key management is very difficult.
• Asymmetric cipher cryptosystems have easy key management,
but speed that is too slow for reasonable length sources.
• Hybrid cryptosystems seem to inherit only the advantages of pure
symmetric/asymmetric ones…
Cryptosystem: Symmetric cipher Asymmetric cipher Hybrid
Speed: High Low High*
Security: High High High
Key Management: Difficult Easy Easy
Flexibility: Low Low High
* assuming message length significantly larger than key length.
May 4, 2011 9May 4, 2011 9
Simple Hybrid Cryptosystem
Alice,
IP Creator.
Bob,
Tool Vendor.
Public Key
Secret Key
Plaintext
Symmetric
Encryption
Ciphertext
Asymmetric
Encryption
Encrypted Key
Ciphertext
Encrypted Key
Private Key
Asymmetric
Decryption
Secret Key
Symmetric
Decryption
Decrypted
Plaintext
May 4, 2011 1010 May 4, 2011 10
Benefits
• Once properly standardized and implemented, source encryption
can be highly beneficial method of IP delivery:
 One method working with all tools in a chain.
 One encrypted source to maintain.
 Any encryption tool can be used to encrypt
for any vendor tool.
 Secure encryption algorithms.
 Low implementation cost: libraries of encryption
and decryption procedures publicly available.
May 4, 2011 11May 4, 2011 11
BASIC SOURCE ENCRYPTION SYSTEM
How Things Work Now
May 4, 2011 1212 May 4, 2011 12
Common Features
• Three HDL standards support encryption: Verilog-2005, VHDL-2008
and SystemVerilog-2009.
• All HDLs use compatible set of encryption commands.
• Encryption commands with encrypted data are placed directly in the
HDL code, creating digital envelopes.
• Encryption commands are recognized thanks to standardized prefix:
• Encrypted data (HDL code and symmetric key) is encoded using Base64
to avoid accidental modifications in text editors.
• Most popular symmetric ciphers are DES, 3DES and AES.
• RSA is the only practically used symmetric cipher.
Language: VHDL Verilog/SystemVerilog
Prefix: `protect `pragma protect
May 4, 2011 1313 May 4, 2011 13
HDL Code Before Encryption
--**********************************************************
--* Intellectual Property ©2011 ACME, Inc. *
--* VHDL Package: my_pack *
--**********************************************************
package my_pack is
function magic (arg : integer) return integer;
end package my_pack;
`protect begin
package body my_pack is
function magic (arg : integer) return integer is
begin
report "Magic function was called!";
return arg * arg + 777;
end function magic;
end package body my_pack;
`protect end
 Command marking start of code to be encrypted
Plaintext of HDL Code
To Be Encrypted
 Command marking end of code to be encrypted
May 4, 2011 1414 May 4, 2011 14
HDL Code After Encryption
--**********************************************************
--* Intellectual Property ©2011 ACME, Inc. *
--* VHDL Package: my_pack *
--**********************************************************
package my_pack is
function magic (arg : integer) return integer;
end package my_pack;
`protect begin_protected
`protect version = 1
`protect author= "john.smith@acme.com"
`protect encrypt_agent= "Aldec protectip.pl, rev. 164098"
`protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa"
`protect encoding= (enctype="base64")
`protect key_block
CBbtjb/TnL8uQsfiqMNPicHtioL2Kxzi0mf+iRQn4Af1P9cO1HAEpDrSU7J2DdMItSXg9P/bHZ4A
2Vjx+4VyoEyboG+NeXaE7UV7djweCJ0bOPVASagQsuLAWWlj6UiqUIOVa3C1fLkr+0quEzKhcNVn
mbvs92U0oKCuyC4JwlQXwuKyl81x1pJxDySMrs74oFqNeoLt4ZHdKiy6yeNn9OiP0QMXzGR3wpe5
CypVsLF15SibeocemLNO7fUINw0ZgmaoirkVS/kqfo++3nIlWKvhzytWxxKurGzo2RQOZuApYO/q
4NN9wW8MY0ohT1YbNJivApWD/fMdYQ7VRgr/3g==
`protect data_keyowner= "ACME_Inc.", data_keyname= "Random"
`protect data_method= "aes256-cbc"
`protect encoding= (enctype="base64")
`protect data_block
pyB+WqJ2KgutN0E39HXls+8GUPF+YedbmZ1CV+u8bz5P8zlj+2FPzWXb9vswNElksxAojMA50VHx
tAN21ebA0gI9tqt4iC7UQkM2epiuvMEm6APC9a7q9XD8LeLqWILv1cqF57PFIiBGvj/EdpC8xwl6
iKV7QP+/Lmd0AV7BNtVEGpr+LpsAcVb/2f11KjU2xkOE3UgI9tki7RTUJ3sD9+OlL4yxljU5Vl9E
BoxCtbegynYyt2yeMsSEE4QtnEbzSgeAZDgr9hGx3QayYEdL2tLwDGV7Ce4BWB1D1Tk7KL4=
`protect end_protected
Encrypted, Encoded
HDL Code
Encrypted, Encoded
Session Key
Public Key Commands
Data Encryption Commands
May 4, 2011 1515 May 4, 2011 15
Digital Envelopes?
--**********************************************************
--* Intellectual Property ©2011 ACME, Inc. *
--* VHDL Package: my_pack *
--**********************************************************
package my_pack is
function magic (arg : integer) return integer;
end package my_pack;
`protect begin_protected
`protect version = 1
`protect author= "john.smith@acme.com"
`protect encrypt_agent= "Aldec protectip.pl, rev. 164098"
`protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa"
`protect encoding= (enctype="base64")
`protect key_block
CBbtjb/TnL8uQsfiqMNPicHtioL2Kxzi0mf+iRQn4Af1P9cO1HAEpDrSU7J2DdMItSXg9P/bHZ4A
2Vjx+4VyoEyboG+NeXaE7UV7djweCJ0bOPVASagQsuLAWWlj6UiqUIOVa3C1fLkr+0quEzKhcNVn
mbvs92U0oKCuyC4JwlQXwuKyl81x1pJxDySMrs74oFqNeoLt4ZHdKiy6yeNn9OiP0QMXzGR3wpe5
CypVsLF15SibeocemLNO7fUINw0ZgmaoirkVS/kqfo++3nIlWKvhzytWxxKurGzo2RQOZuApYO/q
4NN9wW8MY0ohT1YbNJivApWD/fMdYQ7VRgr/3g==
`protect data_keyowner= "ACME_Inc.", data_keyname= "Random"
`protect data_method= "aes256-cbc"
`protect encoding= (enctype="base64")
`protect data_block
pyB+WqJ2KgutN0E39HXls+8GUPF+YedbmZ1CV+u8bz5P8zlj+2FPzWXb9vswNElksxAojMA50VHx
tAN21ebA0gI9tqt4iC7UQkM2epiuvMEm6APC9a7q9XD8LeLqWILv1cqF57PFIiBGvj/EdpC8xwl6
iKV7QP+/Lmd0AV7BNtVEGpr+LpsAcVb/2f11KjU2xkOE3UgI9tki7RTUJ3sD9+OlL4yxljU5Vl9E
BoxCtbegynYyt2yeMsSEE4QtnEbzSgeAZDgr9hGx3QayYEdL2tLwDGV7Ce4BWB1D1Tk7KL4=
`protect end_protected
Key Envelope
Data Envelope
May 4, 2011 1616 May 4, 2011 16
Slight Imperfections
• Although working solutions based on Verilog, VHDL and SystemVerilog
standard were available for some time, not everything looked perfect.
• Even by looking at the list of encryption commands in the LRMs careful
readers could notice some discrepancies.
• Attempts to implement encryption in a tool chain quickly led to
discovery of some well-hidden, but potentially dangerous issues:
 Unspecified treatment of Initialization Vector
in symmetric cipher encryption in CBC mode.
 Unspecified padding in the RSA (asymmetric)
encryption of the session key.
• All those issues make interoperability of
IP encryption problematic…
May 4, 2011 1717 May 4, 2011 17
IV Problem in CBC Mode
• In CBC mode of symmetric ciphers, the Initialization Vector (IV) is needed.
• It should be random, but does not have to be secret.
• Verilog-2005 and VHDL-2008 did not say how to handle IV.
AES
Encryption
Plaintext
IV
X
Ciphertext
AES
Encryption
Plaintext
X
Ciphertext
Check ALDEC cryptology webinars for more explanations
May 4, 2011 1818 May 4, 2011 18
RSA Padding Problem
• Hybrid cryptosystem uses RSA cipher to encrypt session key
(secret key needed by the symmetric cipher).
• While the longest keys used by symmetric ciphers are 256-bit,
the shortest safe RSA keys are 1024-bit.
• It means that a lot of padding must be added to the session
key to match the requirements of RSA.
• Padding with zeroes or spaces is not safe!
• Padding selected arbitrarily by the encryption
tool may differ from the padding expected
by the simulator/synthesizer…
May 4, 2011 1919 May 4, 2011 19
IEEE P1735
• To address IP protection related issues discovered in existing standards
and to extend functionality of the system, IEEE created special group
working on proposed standard 1735 (IEEE P1735).
• Many IP producers and consumers joined P1735 working group
– ALDEC included.
• Although no public documents were released yet, the group created
internal Version 1 Recommendations document addressing the issues
mentioned in the previous slides.
• Tools that create/recognize version = 1
encryption commands implement
Version 1 Recommendations and should have no problems interacting
with each other.
`protect begin_protected
`protect version = 1
May 4, 2011 2020 May 4, 2011 20
Key Version 1 Recommendations
• IV required for symmetric cipher encryption should be
generated randomly and appended in front of the encrypted
data before encoding of the data block.
• Padding of session key data during RSA encryption should
follow the rules specified in RSAES-PKCS1-v1_5 section
of the IETF RFC 3447 document.
• Multiple key envelopes should be allowed
in the protected source; a tool reading
the source should use key envelope created
with its own public key and ignore all remaining
key envelopes.
V 1
May 4, 2011 2121 May 4, 2011 21
Protection with 2 Key Envelopes
`protect begin_protected
`protect version = 1
`protect author= "john.smith@acme.com"
`protect encrypt_agent= "Aldec protectip.pl, rev. 164098"
`protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa"
`protect encoding= (enctype="base64")
`protect key_block
bTPJvH/W4ukdvVJCLPIuFRmsoYfhbhL8O7d9LDF4PPjQKZ+4gGNX9gY8UGmxUFzatIAWmieImp9X
Sex28pndznF6sziTXCifjqgKogj/2vQ9yPV8PoUtsJkpgYaD6m5sQUjPw1ay2IVkcfYMS+Xw3pyc
LDDQWXWXGFkPkIb5m6N1AxktscWMKomh2Ygg+39MSb0LbUEif2Z68mlB8VUMcgmcADL+MpB1tfQf
LLscFb9pMpKAXoPFPbfhaKx3NHwx99kKfRTdLZsNetFMSAbX4019Q4y9qyMkGssB5Y6tj2F0cN8p
Nmoju6yDdTjQh44Y6wxcso+w/AI/CYIykCSM+w==
`protect key_keyowner= "FooBar", key_keyname= "FB 003", key_method= "rsa"
`protect encoding= (enctype="base64")
`protect key_block
Wlx+uDk/bdbs0FXPuwIoBJABdT0WokpF0mUqepKxuiCj35cF1CyMXRGTxexwFnA1QFNgC7YbID7W
M1R2y+F9BopeQtb2w0EA/PRSzdLw3iGL4kj7A+JRI81h1jybmPFmwHkJaos6G+nFLQ1tbi+dQGuR
6i7DnkhGtjoWk6M6mck=
`protect data_keyowner= "ACME_Inc.", data_keyname= "Random"
`protect data_method= "aes128-cbc"
`protect encoding= (enctype="base64")
`protect data_block
6ly36sTXs9sZGj5PjVgnrdeAhi2/UmiHUrKtWTmQIdB5J5eKV+APeqhyuUCxQNHjYo36fIRonuld
Nj6vG18jWp3BMqEXr32GLlrbeY4w9uzvlhygrSZPqQ+PM/Dkqq4Sqk4QOk9s8+oDhUIs+QlyVWUo
5FOysqqFBMyagZQJgruH8fRiDIvBpsVv6vsa3qpk
`protect end_protected
ALDEC Key Envelope
Other Tool Key Envelope
May 4, 2011 2222 May 4, 2011 22
Public Key in HDL Source
• Encryption tools implementing Version 1 Recommendations should
recognize public key specified in plaintext source, as shown in Verilog
code sample below.
• Encryption tools provided by simulation tool vendors will usually add its
own public key encryption automatically.
(Example: If ALDEC encryption tool processes code listed below, both
ALDEC and Acme key envelopes will be present in the output.)
`pragma protect key_keyowner = "Acme"
`pragma protect key_method = "rsa"
`pragma protect key_keyname = "ACME_KEY7_11"
`pragma protect key_public_key
MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQCh/TyTxv6yTpGxBRQ0RBuTNc05
gcwndTBEOgJVKinj9bNiUCLoFU3YTGa/L+M0pTfR/eetiIu1AnFg9Y4sKXYmaCjx
1/7hOB07hUK+vl0xNXk701/Q0wwoQfVsHctTbwRP8NGVKbqlP//QL+o1UC1FPixy
FZy6oMnRULLoBy0s8QIDAQAB
`pragma protect begin
// Verilog code to be encrypted
module top;
. . .
May 4, 2011 23May 4, 2011 23
PROPOSED ENHANCEMENTS
How Things Can Work in the Future
May 4, 2011 2424 May 4, 2011 24
What P1735 Is Working On
• Key Management
 P1735 considers implementing digital certificates to manage keys owned by
various tool vendors (solution based on the X.509 standard).
• License Management
 IP vendors would also like to control which users have access to models;
P1735 assumes that license management and protecting IP source will be
treated as separate, but linked solutions under umbrella
of the new standard.
• Rights Management
 Different tools may have different access rights to the
same IP in the same design flow; P1735 works on secure
and convenient way of specifying them.
May 4, 2011 2525 May 4, 2011 25
Conclusion
• Feel free to contact ALDEC if you need more info about EDA-related topics
and our design creation and verification tools.
Download:
• Recorded webinars
• White papers
• Trial versions of our tools
ALDEC Website: http://www.aldec.com
Telephone E-mail
USA +1-702-990-4400 sales@aldec.com
Canada: +1-613-867-8600 sales@aldec.com
Europe: +33-6-80-32-60-56 sales-eu@aldec.com
Japan: +81-3-5312-1791 sales-jp@aldec.com
India: +91-80-32551030 sales-sa@aldec.com
China: +86-21-6875-20-30 info@aldec.com.cn
Taiwan: +886-2-26599119 ext. 950 sales-tw@aldec.com
Israel: +972-52-2573422 sales-il@aldec.com

More Related Content

What's hot

Network security-primer-9544
Network security-primer-9544Network security-primer-9544
Network security-primer-9544Hfz Mushtaq
 
iOS application (in)security
iOS application (in)securityiOS application (in)security
iOS application (in)securityiphonepentest
 
Advanced SOHO Router Exploitation XCON
Advanced SOHO Router Exploitation XCONAdvanced SOHO Router Exploitation XCON
Advanced SOHO Router Exploitation XCONLyon Yang
 
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEE
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEEDEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEE
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEEFelipe Prado
 
DotNetToscana - Azure IoT Hub - Il Concentratore
DotNetToscana - Azure IoT Hub - Il ConcentratoreDotNetToscana - Azure IoT Hub - Il Concentratore
DotNetToscana - Azure IoT Hub - Il ConcentratoreRiccardo Cappello
 
Améliorer OpenStack avec les technologies Intel
Améliorer OpenStack avec les technologies IntelAméliorer OpenStack avec les technologies Intel
Améliorer OpenStack avec les technologies IntelOdinot Stanislas
 
IoT Agents (Introduction)
IoT Agents (Introduction)IoT Agents (Introduction)
IoT Agents (Introduction)dmoranj
 
Beginners guide on how to start exploring IoT 2nd session
Beginners  guide on how to start exploring IoT 2nd sessionBeginners  guide on how to start exploring IoT 2nd session
Beginners guide on how to start exploring IoT 2nd sessionveerababu penugonda(Mr-IoT)
 
Introduction to AllJoyn
Introduction to AllJoynIntroduction to AllJoyn
Introduction to AllJoynAlex Gonzalez
 
Using Cisco pxGrid for Security Platform Integration: a deep dive
Using Cisco pxGrid for Security Platform Integration: a deep diveUsing Cisco pxGrid for Security Platform Integration: a deep dive
Using Cisco pxGrid for Security Platform Integration: a deep diveCisco DevNet
 
android code protection
android code protectionandroid code protection
android code protectionArman Kabiri
 
Exploring the Azure IoT Ecosystem
Exploring the Azure IoT EcosystemExploring the Azure IoT Ecosystem
Exploring the Azure IoT EcosystemBizTalk360
 
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS apps
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS appsDmitry 'D1g1' Evdokimov - BlackBox analysis of iOS apps
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS appsDefconRussia
 
KIWI IoT Presentation
KIWI IoT PresentationKIWI IoT Presentation
KIWI IoT PresentationJeff Katz
 
Creator IoT Framework
Creator IoT FrameworkCreator IoT Framework
Creator IoT FrameworkPaul Evans
 
FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference
 FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference
FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conferenceFIWARE
 
IoT Saturday PN 2019 - Eurotech
IoT Saturday PN 2019 - EurotechIoT Saturday PN 2019 - Eurotech
IoT Saturday PN 2019 - EurotechLuca Dazi
 

What's hot (20)

Internet of things : Beginners view
Internet of things : Beginners viewInternet of things : Beginners view
Internet of things : Beginners view
 
Next Generation Skills for IoT
Next Generation Skills for IoTNext Generation Skills for IoT
Next Generation Skills for IoT
 
Network security-primer-9544
Network security-primer-9544Network security-primer-9544
Network security-primer-9544
 
iOS application (in)security
iOS application (in)securityiOS application (in)security
iOS application (in)security
 
Advanced SOHO Router Exploitation XCON
Advanced SOHO Router Exploitation XCONAdvanced SOHO Router Exploitation XCON
Advanced SOHO Router Exploitation XCON
 
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEE
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEEDEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEE
DEFCON 23 - Li Jun Yang Ging - I’M A NEWBIE YET I CAN HACK ZIGBEE
 
DotNetToscana - Azure IoT Hub - Il Concentratore
DotNetToscana - Azure IoT Hub - Il ConcentratoreDotNetToscana - Azure IoT Hub - Il Concentratore
DotNetToscana - Azure IoT Hub - Il Concentratore
 
Améliorer OpenStack avec les technologies Intel
Améliorer OpenStack avec les technologies IntelAméliorer OpenStack avec les technologies Intel
Améliorer OpenStack avec les technologies Intel
 
IoT Agents (Introduction)
IoT Agents (Introduction)IoT Agents (Introduction)
IoT Agents (Introduction)
 
Beginners guide on how to start exploring IoT 2nd session
Beginners  guide on how to start exploring IoT 2nd sessionBeginners  guide on how to start exploring IoT 2nd session
Beginners guide on how to start exploring IoT 2nd session
 
Introduction to AllJoyn
Introduction to AllJoynIntroduction to AllJoyn
Introduction to AllJoyn
 
Using Cisco pxGrid for Security Platform Integration: a deep dive
Using Cisco pxGrid for Security Platform Integration: a deep diveUsing Cisco pxGrid for Security Platform Integration: a deep dive
Using Cisco pxGrid for Security Platform Integration: a deep dive
 
android code protection
android code protectionandroid code protection
android code protection
 
End to end IoT Solution using Mongoose OS.
End to end IoT Solution using Mongoose OS.End to end IoT Solution using Mongoose OS.
End to end IoT Solution using Mongoose OS.
 
Exploring the Azure IoT Ecosystem
Exploring the Azure IoT EcosystemExploring the Azure IoT Ecosystem
Exploring the Azure IoT Ecosystem
 
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS apps
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS appsDmitry 'D1g1' Evdokimov - BlackBox analysis of iOS apps
Dmitry 'D1g1' Evdokimov - BlackBox analysis of iOS apps
 
KIWI IoT Presentation
KIWI IoT PresentationKIWI IoT Presentation
KIWI IoT Presentation
 
Creator IoT Framework
Creator IoT FrameworkCreator IoT Framework
Creator IoT Framework
 
FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference
 FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference
FIWARE Developers Week_FIWARE IoT: Beginner's tutorial_conference
 
IoT Saturday PN 2019 - Eurotech
IoT Saturday PN 2019 - EurotechIoT Saturday PN 2019 - Eurotech
IoT Saturday PN 2019 - Eurotech
 

Similar to Track f interoperable ip-delivery_ch_e ofer shragay

How to do Cryptography right in Android Part Two
How to do Cryptography right in Android Part TwoHow to do Cryptography right in Android Part Two
How to do Cryptography right in Android Part TwoArash Ramez
 
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...Security Solution - Luckey Application on Crypto-currency and Personal Bankin...
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...Wan Leung Wong
 
Ext osad initial-eval-march2015
Ext osad initial-eval-march2015Ext osad initial-eval-march2015
Ext osad initial-eval-march2015Daneyon Hansen
 
Cryptography and encryption and security network
Cryptography and encryption and security networkCryptography and encryption and security network
Cryptography and encryption and security networkNirajKumar620142
 
Secure Channels Presentation
Secure Channels PresentationSecure Channels Presentation
Secure Channels PresentationRichard Blech
 
Wired equivalent privacy (wep)
Wired equivalent privacy (wep)Wired equivalent privacy (wep)
Wired equivalent privacy (wep)akruthi k
 
Insertable Streams and E2EE @ ClueCon2020
Insertable Streams and E2EE @ ClueCon2020Insertable Streams and E2EE @ ClueCon2020
Insertable Streams and E2EE @ ClueCon2020Lorenzo Miniero
 
Security Framework for the IPv6 Era
Security Framework for the IPv6 EraSecurity Framework for the IPv6 Era
Security Framework for the IPv6 EraShinsuke SUZUKI
 
SNIA : Swift Object Storage adding EC (Erasure Code)
SNIA : Swift Object Storage adding EC (Erasure Code)SNIA : Swift Object Storage adding EC (Erasure Code)
SNIA : Swift Object Storage adding EC (Erasure Code)Odinot Stanislas
 
Java Card Platform Security and Performance
Java Card Platform Security and PerformanceJava Card Platform Security and Performance
Java Card Platform Security and PerformanceEric Vétillard
 
Reading Group Presentation: Web Attacks on Host-Proof Encrypted Storage
Reading Group Presentation: Web Attacks on Host-Proof Encrypted StorageReading Group Presentation: Web Attacks on Host-Proof Encrypted Storage
Reading Group Presentation: Web Attacks on Host-Proof Encrypted StorageMichael Rushanan
 
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...Felipe Prado
 
Improved authentication & key agreement protocol using elliptic curve cryptog...
Improved authentication & key agreement protocol using elliptic curve cryptog...Improved authentication & key agreement protocol using elliptic curve cryptog...
Improved authentication & key agreement protocol using elliptic curve cryptog...CAS
 
CJUS 703Biblical Worldview of Corrections Assignment Instruction
CJUS 703Biblical Worldview of Corrections Assignment InstructionCJUS 703Biblical Worldview of Corrections Assignment Instruction
CJUS 703Biblical Worldview of Corrections Assignment InstructionVinaOconner450
 
Why Disk Level Encryption is Not Enough for Your IBM i
Why Disk Level Encryption is Not Enough for Your IBM i Why Disk Level Encryption is Not Enough for Your IBM i
Why Disk Level Encryption is Not Enough for Your IBM i Precisely
 

Similar to Track f interoperable ip-delivery_ch_e ofer shragay (20)

How to do Cryptography right in Android Part Two
How to do Cryptography right in Android Part TwoHow to do Cryptography right in Android Part Two
How to do Cryptography right in Android Part Two
 
Phifer 3 30_04
Phifer 3 30_04Phifer 3 30_04
Phifer 3 30_04
 
Smart Object Architecture
Smart Object ArchitectureSmart Object Architecture
Smart Object Architecture
 
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...Security Solution - Luckey Application on Crypto-currency and Personal Bankin...
Security Solution - Luckey Application on Crypto-currency and Personal Bankin...
 
Cryptology - The practice and study of hiding information
Cryptology - The practice and study of hiding informationCryptology - The practice and study of hiding information
Cryptology - The practice and study of hiding information
 
Ext osad initial-eval-march2015
Ext osad initial-eval-march2015Ext osad initial-eval-march2015
Ext osad initial-eval-march2015
 
Cryptography and encryption and security network
Cryptography and encryption and security networkCryptography and encryption and security network
Cryptography and encryption and security network
 
Secure Channels Presentation
Secure Channels PresentationSecure Channels Presentation
Secure Channels Presentation
 
Wired equivalent privacy (wep)
Wired equivalent privacy (wep)Wired equivalent privacy (wep)
Wired equivalent privacy (wep)
 
Insertable Streams and E2EE @ ClueCon2020
Insertable Streams and E2EE @ ClueCon2020Insertable Streams and E2EE @ ClueCon2020
Insertable Streams and E2EE @ ClueCon2020
 
Security Framework for the IPv6 Era
Security Framework for the IPv6 EraSecurity Framework for the IPv6 Era
Security Framework for the IPv6 Era
 
SNIA : Swift Object Storage adding EC (Erasure Code)
SNIA : Swift Object Storage adding EC (Erasure Code)SNIA : Swift Object Storage adding EC (Erasure Code)
SNIA : Swift Object Storage adding EC (Erasure Code)
 
Java Card Platform Security and Performance
Java Card Platform Security and PerformanceJava Card Platform Security and Performance
Java Card Platform Security and Performance
 
Lesson 1
Lesson 1Lesson 1
Lesson 1
 
Reading Group Presentation: Web Attacks on Host-Proof Encrypted Storage
Reading Group Presentation: Web Attacks on Host-Proof Encrypted StorageReading Group Presentation: Web Attacks on Host-Proof Encrypted Storage
Reading Group Presentation: Web Attacks on Host-Proof Encrypted Storage
 
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...
DEF CON 27 - ORANGE TSAI and MEH CHANG - infiltrating corporate intranet like...
 
Improved authentication & key agreement protocol using elliptic curve cryptog...
Improved authentication & key agreement protocol using elliptic curve cryptog...Improved authentication & key agreement protocol using elliptic curve cryptog...
Improved authentication & key agreement protocol using elliptic curve cryptog...
 
CJUS 703Biblical Worldview of Corrections Assignment Instruction
CJUS 703Biblical Worldview of Corrections Assignment InstructionCJUS 703Biblical Worldview of Corrections Assignment Instruction
CJUS 703Biblical Worldview of Corrections Assignment Instruction
 
Airheads dallas 2011 wireless security
Airheads dallas 2011   wireless securityAirheads dallas 2011   wireless security
Airheads dallas 2011 wireless security
 
Why Disk Level Encryption is Not Enough for Your IBM i
Why Disk Level Encryption is Not Enough for Your IBM i Why Disk Level Encryption is Not Enough for Your IBM i
Why Disk Level Encryption is Not Enough for Your IBM i
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technionchiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faradaychiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia chiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsyschiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzchiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intelchiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arterischiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtoolchiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQchiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Siliconchiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsyschiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retinachiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Siliconchiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductorchiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technionchiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Recently uploaded

URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfSumit Tiwari
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfakmcokerachita
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 

Recently uploaded (20)

URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdf
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
 

Track f interoperable ip-delivery_ch_e ofer shragay

  • 1. May 4, 2011 1May 4, 2011 1 Interoperable IP Delivery ChipEx2011 Presentation Rev. 1.0 Created by Jerry Kaczynski, ALDEC Research Engineer Presented by Ofer Shragay, Sital Technology Sr. FAE
  • 2. May 4, 2011 22 May 4, 2011 2 Agenda • Introduction • Traditional Methods of IP Delivery • Benefits of Source Encryption • Basic Source Encryption System • Proposed Enhancements
  • 3. May 4, 2011 33 May 4, 2011 3 Introduction • Intellectual Property is a tricky business:  IP creators spend lots of time developing it and want to get paid for their work, so they demand secure methods of IP delivery to selected users/tools.  IP users want to be able to configure and use models they paid for without hassle.  Tool vendors want to support high quality IP, but do not want to support too many methods of delivery. • Some traditional methods of IP delivery were so ‘secure’ that they became almost impossible to manage by creators and users. • Other methods were so simplistic that they could be compared to hiding the house key under the doormat…
  • 4. May 4, 2011 4May 4, 2011 4 TRADITIONAL METHODS OF IP DELIVERY Quick Overview
  • 5. May 4, 2011 55 May 4, 2011 5 Source Obfuscation • Source obfuscation is the method of replacing meaningful names in the source with something hard to read and intentionally confusing. • Source obfuscation is an example of “security through obscurity”, i.e. not really secure method of IP delivery. • Source obfuscation was acceptable for end users and tool vendors, but not good for IP creators. • Related tool-specific source encryption methods were more secure than obfuscation, but difficult to manage.
  • 6. May 4, 2011 66 May 4, 2011 6 Binary Delivery • Some EDA tools have native, binary library formats, usually enhanced with protection mechanisms that prevent debugging. • IP can be delivered in tool-specific binary format, but the only group of people happy with this solution are users of that specific tool. Popular IP vendor cannot be happy, because multiple tools must be supported… • There were some ‘universal’ binary formats, but they created nightmares on the end-user side. FPGA users remember one solution from this group: after configuring model library for vendor “A” it stopped working in vendor “X” tools and reconfiguring it back to vendor “X” support disabled it in vendor “A” tools…
  • 7. May 4, 2011 7May 4, 2011 7 BENEFITS OF SOURCE ENCRYPTION How to Be Fast and Secure
  • 8. May 4, 2011 88 May 4, 2011 8 Three Cryptosystems • Cryptosystem suitable for source encryption can be based on symmetric ciphers, asymmetric ciphers or be a hybrid of the two. • All modern ciphers are secure if keys are long enough. • Symmetric cipher cryptosystems are fast and easy to implement, but their key management is very difficult. • Asymmetric cipher cryptosystems have easy key management, but speed that is too slow for reasonable length sources. • Hybrid cryptosystems seem to inherit only the advantages of pure symmetric/asymmetric ones… Cryptosystem: Symmetric cipher Asymmetric cipher Hybrid Speed: High Low High* Security: High High High Key Management: Difficult Easy Easy Flexibility: Low Low High * assuming message length significantly larger than key length.
  • 9. May 4, 2011 9May 4, 2011 9 Simple Hybrid Cryptosystem Alice, IP Creator. Bob, Tool Vendor. Public Key Secret Key Plaintext Symmetric Encryption Ciphertext Asymmetric Encryption Encrypted Key Ciphertext Encrypted Key Private Key Asymmetric Decryption Secret Key Symmetric Decryption Decrypted Plaintext
  • 10. May 4, 2011 1010 May 4, 2011 10 Benefits • Once properly standardized and implemented, source encryption can be highly beneficial method of IP delivery:  One method working with all tools in a chain.  One encrypted source to maintain.  Any encryption tool can be used to encrypt for any vendor tool.  Secure encryption algorithms.  Low implementation cost: libraries of encryption and decryption procedures publicly available.
  • 11. May 4, 2011 11May 4, 2011 11 BASIC SOURCE ENCRYPTION SYSTEM How Things Work Now
  • 12. May 4, 2011 1212 May 4, 2011 12 Common Features • Three HDL standards support encryption: Verilog-2005, VHDL-2008 and SystemVerilog-2009. • All HDLs use compatible set of encryption commands. • Encryption commands with encrypted data are placed directly in the HDL code, creating digital envelopes. • Encryption commands are recognized thanks to standardized prefix: • Encrypted data (HDL code and symmetric key) is encoded using Base64 to avoid accidental modifications in text editors. • Most popular symmetric ciphers are DES, 3DES and AES. • RSA is the only practically used symmetric cipher. Language: VHDL Verilog/SystemVerilog Prefix: `protect `pragma protect
  • 13. May 4, 2011 1313 May 4, 2011 13 HDL Code Before Encryption --********************************************************** --* Intellectual Property ©2011 ACME, Inc. * --* VHDL Package: my_pack * --********************************************************** package my_pack is function magic (arg : integer) return integer; end package my_pack; `protect begin package body my_pack is function magic (arg : integer) return integer is begin report "Magic function was called!"; return arg * arg + 777; end function magic; end package body my_pack; `protect end  Command marking start of code to be encrypted Plaintext of HDL Code To Be Encrypted  Command marking end of code to be encrypted
  • 14. May 4, 2011 1414 May 4, 2011 14 HDL Code After Encryption --********************************************************** --* Intellectual Property ©2011 ACME, Inc. * --* VHDL Package: my_pack * --********************************************************** package my_pack is function magic (arg : integer) return integer; end package my_pack; `protect begin_protected `protect version = 1 `protect author= "john.smith@acme.com" `protect encrypt_agent= "Aldec protectip.pl, rev. 164098" `protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa" `protect encoding= (enctype="base64") `protect key_block CBbtjb/TnL8uQsfiqMNPicHtioL2Kxzi0mf+iRQn4Af1P9cO1HAEpDrSU7J2DdMItSXg9P/bHZ4A 2Vjx+4VyoEyboG+NeXaE7UV7djweCJ0bOPVASagQsuLAWWlj6UiqUIOVa3C1fLkr+0quEzKhcNVn mbvs92U0oKCuyC4JwlQXwuKyl81x1pJxDySMrs74oFqNeoLt4ZHdKiy6yeNn9OiP0QMXzGR3wpe5 CypVsLF15SibeocemLNO7fUINw0ZgmaoirkVS/kqfo++3nIlWKvhzytWxxKurGzo2RQOZuApYO/q 4NN9wW8MY0ohT1YbNJivApWD/fMdYQ7VRgr/3g== `protect data_keyowner= "ACME_Inc.", data_keyname= "Random" `protect data_method= "aes256-cbc" `protect encoding= (enctype="base64") `protect data_block pyB+WqJ2KgutN0E39HXls+8GUPF+YedbmZ1CV+u8bz5P8zlj+2FPzWXb9vswNElksxAojMA50VHx tAN21ebA0gI9tqt4iC7UQkM2epiuvMEm6APC9a7q9XD8LeLqWILv1cqF57PFIiBGvj/EdpC8xwl6 iKV7QP+/Lmd0AV7BNtVEGpr+LpsAcVb/2f11KjU2xkOE3UgI9tki7RTUJ3sD9+OlL4yxljU5Vl9E BoxCtbegynYyt2yeMsSEE4QtnEbzSgeAZDgr9hGx3QayYEdL2tLwDGV7Ce4BWB1D1Tk7KL4= `protect end_protected Encrypted, Encoded HDL Code Encrypted, Encoded Session Key Public Key Commands Data Encryption Commands
  • 15. May 4, 2011 1515 May 4, 2011 15 Digital Envelopes? --********************************************************** --* Intellectual Property ©2011 ACME, Inc. * --* VHDL Package: my_pack * --********************************************************** package my_pack is function magic (arg : integer) return integer; end package my_pack; `protect begin_protected `protect version = 1 `protect author= "john.smith@acme.com" `protect encrypt_agent= "Aldec protectip.pl, rev. 164098" `protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa" `protect encoding= (enctype="base64") `protect key_block CBbtjb/TnL8uQsfiqMNPicHtioL2Kxzi0mf+iRQn4Af1P9cO1HAEpDrSU7J2DdMItSXg9P/bHZ4A 2Vjx+4VyoEyboG+NeXaE7UV7djweCJ0bOPVASagQsuLAWWlj6UiqUIOVa3C1fLkr+0quEzKhcNVn mbvs92U0oKCuyC4JwlQXwuKyl81x1pJxDySMrs74oFqNeoLt4ZHdKiy6yeNn9OiP0QMXzGR3wpe5 CypVsLF15SibeocemLNO7fUINw0ZgmaoirkVS/kqfo++3nIlWKvhzytWxxKurGzo2RQOZuApYO/q 4NN9wW8MY0ohT1YbNJivApWD/fMdYQ7VRgr/3g== `protect data_keyowner= "ACME_Inc.", data_keyname= "Random" `protect data_method= "aes256-cbc" `protect encoding= (enctype="base64") `protect data_block pyB+WqJ2KgutN0E39HXls+8GUPF+YedbmZ1CV+u8bz5P8zlj+2FPzWXb9vswNElksxAojMA50VHx tAN21ebA0gI9tqt4iC7UQkM2epiuvMEm6APC9a7q9XD8LeLqWILv1cqF57PFIiBGvj/EdpC8xwl6 iKV7QP+/Lmd0AV7BNtVEGpr+LpsAcVb/2f11KjU2xkOE3UgI9tki7RTUJ3sD9+OlL4yxljU5Vl9E BoxCtbegynYyt2yeMsSEE4QtnEbzSgeAZDgr9hGx3QayYEdL2tLwDGV7Ce4BWB1D1Tk7KL4= `protect end_protected Key Envelope Data Envelope
  • 16. May 4, 2011 1616 May 4, 2011 16 Slight Imperfections • Although working solutions based on Verilog, VHDL and SystemVerilog standard were available for some time, not everything looked perfect. • Even by looking at the list of encryption commands in the LRMs careful readers could notice some discrepancies. • Attempts to implement encryption in a tool chain quickly led to discovery of some well-hidden, but potentially dangerous issues:  Unspecified treatment of Initialization Vector in symmetric cipher encryption in CBC mode.  Unspecified padding in the RSA (asymmetric) encryption of the session key. • All those issues make interoperability of IP encryption problematic…
  • 17. May 4, 2011 1717 May 4, 2011 17 IV Problem in CBC Mode • In CBC mode of symmetric ciphers, the Initialization Vector (IV) is needed. • It should be random, but does not have to be secret. • Verilog-2005 and VHDL-2008 did not say how to handle IV. AES Encryption Plaintext IV X Ciphertext AES Encryption Plaintext X Ciphertext Check ALDEC cryptology webinars for more explanations
  • 18. May 4, 2011 1818 May 4, 2011 18 RSA Padding Problem • Hybrid cryptosystem uses RSA cipher to encrypt session key (secret key needed by the symmetric cipher). • While the longest keys used by symmetric ciphers are 256-bit, the shortest safe RSA keys are 1024-bit. • It means that a lot of padding must be added to the session key to match the requirements of RSA. • Padding with zeroes or spaces is not safe! • Padding selected arbitrarily by the encryption tool may differ from the padding expected by the simulator/synthesizer…
  • 19. May 4, 2011 1919 May 4, 2011 19 IEEE P1735 • To address IP protection related issues discovered in existing standards and to extend functionality of the system, IEEE created special group working on proposed standard 1735 (IEEE P1735). • Many IP producers and consumers joined P1735 working group – ALDEC included. • Although no public documents were released yet, the group created internal Version 1 Recommendations document addressing the issues mentioned in the previous slides. • Tools that create/recognize version = 1 encryption commands implement Version 1 Recommendations and should have no problems interacting with each other. `protect begin_protected `protect version = 1
  • 20. May 4, 2011 2020 May 4, 2011 20 Key Version 1 Recommendations • IV required for symmetric cipher encryption should be generated randomly and appended in front of the encrypted data before encoding of the data block. • Padding of session key data during RSA encryption should follow the rules specified in RSAES-PKCS1-v1_5 section of the IETF RFC 3447 document. • Multiple key envelopes should be allowed in the protected source; a tool reading the source should use key envelope created with its own public key and ignore all remaining key envelopes. V 1
  • 21. May 4, 2011 2121 May 4, 2011 21 Protection with 2 Key Envelopes `protect begin_protected `protect version = 1 `protect author= "john.smith@acme.com" `protect encrypt_agent= "Aldec protectip.pl, rev. 164098" `protect key_keyowner= "Aldec", key_keyname= "ALDEC08_001", key_method= "rsa" `protect encoding= (enctype="base64") `protect key_block bTPJvH/W4ukdvVJCLPIuFRmsoYfhbhL8O7d9LDF4PPjQKZ+4gGNX9gY8UGmxUFzatIAWmieImp9X Sex28pndznF6sziTXCifjqgKogj/2vQ9yPV8PoUtsJkpgYaD6m5sQUjPw1ay2IVkcfYMS+Xw3pyc LDDQWXWXGFkPkIb5m6N1AxktscWMKomh2Ygg+39MSb0LbUEif2Z68mlB8VUMcgmcADL+MpB1tfQf LLscFb9pMpKAXoPFPbfhaKx3NHwx99kKfRTdLZsNetFMSAbX4019Q4y9qyMkGssB5Y6tj2F0cN8p Nmoju6yDdTjQh44Y6wxcso+w/AI/CYIykCSM+w== `protect key_keyowner= "FooBar", key_keyname= "FB 003", key_method= "rsa" `protect encoding= (enctype="base64") `protect key_block Wlx+uDk/bdbs0FXPuwIoBJABdT0WokpF0mUqepKxuiCj35cF1CyMXRGTxexwFnA1QFNgC7YbID7W M1R2y+F9BopeQtb2w0EA/PRSzdLw3iGL4kj7A+JRI81h1jybmPFmwHkJaos6G+nFLQ1tbi+dQGuR 6i7DnkhGtjoWk6M6mck= `protect data_keyowner= "ACME_Inc.", data_keyname= "Random" `protect data_method= "aes128-cbc" `protect encoding= (enctype="base64") `protect data_block 6ly36sTXs9sZGj5PjVgnrdeAhi2/UmiHUrKtWTmQIdB5J5eKV+APeqhyuUCxQNHjYo36fIRonuld Nj6vG18jWp3BMqEXr32GLlrbeY4w9uzvlhygrSZPqQ+PM/Dkqq4Sqk4QOk9s8+oDhUIs+QlyVWUo 5FOysqqFBMyagZQJgruH8fRiDIvBpsVv6vsa3qpk `protect end_protected ALDEC Key Envelope Other Tool Key Envelope
  • 22. May 4, 2011 2222 May 4, 2011 22 Public Key in HDL Source • Encryption tools implementing Version 1 Recommendations should recognize public key specified in plaintext source, as shown in Verilog code sample below. • Encryption tools provided by simulation tool vendors will usually add its own public key encryption automatically. (Example: If ALDEC encryption tool processes code listed below, both ALDEC and Acme key envelopes will be present in the output.) `pragma protect key_keyowner = "Acme" `pragma protect key_method = "rsa" `pragma protect key_keyname = "ACME_KEY7_11" `pragma protect key_public_key MIGfMA0GCSqGSIb3DQEBAQUAA4GNADCBiQKBgQCh/TyTxv6yTpGxBRQ0RBuTNc05 gcwndTBEOgJVKinj9bNiUCLoFU3YTGa/L+M0pTfR/eetiIu1AnFg9Y4sKXYmaCjx 1/7hOB07hUK+vl0xNXk701/Q0wwoQfVsHctTbwRP8NGVKbqlP//QL+o1UC1FPixy FZy6oMnRULLoBy0s8QIDAQAB `pragma protect begin // Verilog code to be encrypted module top; . . .
  • 23. May 4, 2011 23May 4, 2011 23 PROPOSED ENHANCEMENTS How Things Can Work in the Future
  • 24. May 4, 2011 2424 May 4, 2011 24 What P1735 Is Working On • Key Management  P1735 considers implementing digital certificates to manage keys owned by various tool vendors (solution based on the X.509 standard). • License Management  IP vendors would also like to control which users have access to models; P1735 assumes that license management and protecting IP source will be treated as separate, but linked solutions under umbrella of the new standard. • Rights Management  Different tools may have different access rights to the same IP in the same design flow; P1735 works on secure and convenient way of specifying them.
  • 25. May 4, 2011 2525 May 4, 2011 25 Conclusion • Feel free to contact ALDEC if you need more info about EDA-related topics and our design creation and verification tools. Download: • Recorded webinars • White papers • Trial versions of our tools ALDEC Website: http://www.aldec.com Telephone E-mail USA +1-702-990-4400 sales@aldec.com Canada: +1-613-867-8600 sales@aldec.com Europe: +33-6-80-32-60-56 sales-eu@aldec.com Japan: +81-3-5312-1791 sales-jp@aldec.com India: +91-80-32551030 sales-sa@aldec.com China: +86-21-6875-20-30 info@aldec.com.cn Taiwan: +886-2-26599119 ext. 950 sales-tw@aldec.com Israel: +972-52-2573422 sales-il@aldec.com