SlideShare a Scribd company logo
#define IN1 8 
#define IN2 9 
#define IN3 10 
#define IN4 11 
#define IN5 4 
#define IN6 5 
#define IN7 6 
#define IN8 7 
#define INn1 22 
#define INn2 24 
#define INn3 26 
#define INn4 28 
#include <Servo.h> 
Servo myservo; // create servo object to control a servo 
// twelve servo objects can be created on most boards 
int pos = 0;  
int Steps = 0; 
int Steps1 = 0; 
int Steps2 = 0; 
boolean Direction = true;// gre 
boolean Direction1 = true;// gre 
boolean Direction2 = true;// gre 
unsigned long last_time; 
unsigned long currentMillis ; 
int steps_left=40950; 
int steps_left1=40950; 
int steps_left2=40950; 
int k=0; 
long time; 
void setup() 
{ 
Serial.begin(115200); 
pinMode(IN1, OUTPUT);  
pinMode(IN2, OUTPUT);  
pinMode(IN3, OUTPUT);  
pinMode(IN4, OUTPUT); 
pinMode(IN5, OUTPUT);  
pinMode(IN6, OUTPUT);  
pinMode(IN7, OUTPUT);  
pinMode(IN8, OUTPUT); 
pinMode(INn1, OUTPUT);  
pinMode(INn2, OUTPUT);  
pinMode(INn3, OUTPUT);  
pinMode(INn4, OUTPUT); 
myservo.attach(12);   
// delay(1000); 
} 
//_____________________________________________________________ 
void motor1 (int spd1 ,int steps1 ,int dir1 ){ 
steps_left1 = steps1 ; 
Direction1 = dir1 ; 
while(steps_left1>0){ 
currentMillis = micros(); 
if(currentMillis-last_time>=spd1){ 
stepper1(1);  
time=time+micros()-last_time; 
last_time=micros(); 
steps_left1--; 
} 
  
//delay(1000); 
//Direction=!Direction; 
  
 
} 
   
  
   
} 
//-___________________________________________________________ 
void loop() 
{ 
k=0; 
while(k<2000){ 
motor (1000 , 1 , 0 ); 
motor1 (1000 , 1 , 1 ); 
k++; 
} 
while(k<3500){ 
motor (3700 , 1 , 1 ); 
motor2 (1000 , 1 , 1 ); 
k++; 
} 
while(k<5000){ 
motor (1000 , 1 , 1 ); 
motor2 (1000 , 1 , 0 ); 
k++; 
} 
myservo.write(30); 
delay(1000); 
while(k<5500){ 
motor (3700 , 1 , 0 ); 
motor1 (1000 , 1 , 0 ); 
motor2 (1000 , 1 , 0 ); 
k++; 
} 
myservo.write(0); 
delay(2000); 
/* while(k<9000){ 
motor (800 , 1 , 0 ); 
motor1 (800 , 1 , 1 ); 
k++; 
} 
while(k<12000){ 
motor (800 , 1 , 0 ); 
motor1 (1000 , 1 , 0 ); 
k++; 
}*/ 
  
   
} 
void motor (int spd ,int steps ,int dir ){ 
steps_left = steps ; 
Direction = dir ; 
while(steps_left>0){ 
currentMillis = micros(); 
if(currentMillis-last_time>=spd){ 
stepper(1);  
time=time+micros()-last_time; 
last_time=micros(); 
steps_left--; 
   
} 
  
//delay(1000); 
//Direction=!Direction; 
  
 
} 
   
  
   
} 
//____________________________________________________________________________________
_____ 
 
void motor2 (int spd2 ,int steps2 ,int dir2 ){ 
steps_left2 = steps2 ; 
Direction2 = dir2 ; 
while(steps_left2>0){ 
currentMillis = micros(); 
if(currentMillis-last_time>=spd2){ 
stepper2(1);  
time=time+micros()-last_time; 
last_time=micros(); 
steps_left2--; 
} 
  
//delay(1000); 
//Direction=!Direction; 
  
 
} 
   
  
   
} 
//__________________________________________________ 
void stepper(int xw){ 
for (int x=0;x<xw;x++){ 
switch(Steps){ 
case 0: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, HIGH); 
break;  
case 1: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, HIGH); 
digitalWrite(IN4, HIGH); 
break;  
case 2: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, HIGH); 
digitalWrite(IN4, LOW); 
break;  
case 3: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, HIGH); 
digitalWrite(IN3, HIGH); 
digitalWrite(IN4, LOW); 
break;  
case 4: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, HIGH); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, LOW); 
break;  
case 5: 
digitalWrite(IN1, HIGH);  
digitalWrite(IN2, HIGH); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, LOW); 
break;  
case 6: 
digitalWrite(IN1, HIGH);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, LOW); 
break;  
case 7: 
digitalWrite(IN1, HIGH);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, HIGH); 
break;  
default: 
digitalWrite(IN1, LOW);  
digitalWrite(IN2, LOW); 
digitalWrite(IN3, LOW); 
digitalWrite(IN4, LOW); 
break;  
} 
SetDirection(); 
} 
}  
//____________________________________________________________________________________
________ 
void stepper1(int xy){ 
for (int x=0;x<xy;x++){ 
switch(Steps1){ 
case 0: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, HIGH); 
break;  
case 1: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, HIGH); 
digitalWrite(IN8, HIGH); 
break;  
case 2: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, HIGH); 
digitalWrite(IN8, LOW); 
break;  
case 3: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, HIGH); 
digitalWrite(IN7, HIGH); 
digitalWrite(IN8, LOW); 
break;  
case 4: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, HIGH); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, LOW); 
break;  
case 5: 
digitalWrite(IN5, HIGH);  
digitalWrite(IN6, HIGH); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, LOW); 
break;  
case 6: 
digitalWrite(IN5, HIGH);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, LOW); 
break;  
case 7: 
digitalWrite(IN5, HIGH);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, HIGH); 
break;  
default: 
digitalWrite(IN5, LOW);  
digitalWrite(IN6, LOW); 
digitalWrite(IN7, LOW); 
digitalWrite(IN8, LOW); 
break;  
} 
SetDirection1(); 
} 
} 
//____________________________________________________________________ 
void stepper2(int xz){ 
for (int x=0;x<xz;x++){ 
switch(Steps2){ 
case 0: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, HIGH); 
break;  
case 1: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, HIGH); 
digitalWrite(INn4, HIGH); 
break;  
case 2: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, HIGH); 
digitalWrite(INn4, LOW); 
break;  
case 3: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, HIGH); 
digitalWrite(INn3, HIGH); 
digitalWrite(INn4, LOW); 
break;  
case 4: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, HIGH); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, LOW); 
break;  
case 5: 
digitalWrite(INn1, HIGH);  
digitalWrite(INn2, HIGH); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, LOW); 
break;  
case 6: 
digitalWrite(INn1, HIGH);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, LOW); 
break;  
case 7: 
digitalWrite(INn1, HIGH);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, HIGH); 
break;  
default: 
digitalWrite(INn1, LOW);  
digitalWrite(INn2, LOW); 
digitalWrite(INn3, LOW); 
digitalWrite(INn4, LOW); 
break;  
} 
SetDirection2(); 
} 
}  
//______________________________________________________ 
void SetDirection(){ 
if(Direction==1){ Steps++;} 
if(Direction==0){ Steps--; } 
if(Steps>7 ){Steps=0;} 
if(Steps<0){Steps=7; } 
} 
//___________________________________________________________ 
void SetDirection1(){ 
if(Direction1==1){ Steps1++;} 
if(Direction1==0){ Steps1--; } 
if(Steps1>7 ){Steps1=0;} 
if(Steps1<0){Steps1=7; } 
} 
//______________________________ 
void SetDirection2(){ 
if(Direction2==1){ Steps2++;} 
if(Direction2==0){ Steps2--; } 
if(Steps2>7 ){Steps2=0;} 
if(Steps2<0){Steps2=7; } 
} 
 

More Related Content

What's hot

codings related to avr micro controller
codings related to avr micro controllercodings related to avr micro controller
codings related to avr micro controller
Syed Ghufran Hassan
 
Verilog code all
Verilog code allVerilog code all
Verilog code all
MNIT jaipur
 
3
33
Pro typescript.ch03.Object Orientation in TypeScript
Pro typescript.ch03.Object Orientation in TypeScriptPro typescript.ch03.Object Orientation in TypeScript
Pro typescript.ch03.Object Orientation in TypeScript
Seok-joon Yun
 
Java, Up to Date Sources
Java, Up to Date SourcesJava, Up to Date Sources
Java, Up to Date Sources
輝 子安
 
Code
CodeCode
Welcome to Modern C++
Welcome to Modern C++Welcome to Modern C++
Welcome to Modern C++
Seok-joon Yun
 
Jamming attack in wireless network
Jamming attack in wireless networkJamming attack in wireless network
Jamming attack in wireless network
Thesis Scientist Private Limited
 
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
jon_bell
 
Arduino coding class
Arduino coding classArduino coding class
Arduino coding class
Jonah Marrs
 
Arduino coding class part ii
Arduino coding class part iiArduino coding class part ii
Arduino coding class part ii
Jonah Marrs
 
Legacy codesmalltalk
Legacy codesmalltalkLegacy codesmalltalk
Legacy codesmalltalk
Eric Smith
 
โครงงาน เครื่องคิดเลข
โครงงาน เครื่องคิดเลขโครงงาน เครื่องคิดเลข
โครงงาน เครื่องคิดเลข
Bung Lfkglialbmk
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)
Mohamed Samy
 
Vhdlbputspdas
VhdlbputspdasVhdlbputspdas
Vhdlbputspdas
GIET,Bhubaneswar
 
Basic C++ 11/14 for Python Programmers
Basic C++ 11/14 for Python ProgrammersBasic C++ 11/14 for Python Programmers
Basic C++ 11/14 for Python Programmers
Appier
 
Aodv routing protocol code in ns2
Aodv routing protocol code in ns2Aodv routing protocol code in ns2
Aodv routing protocol code in ns2
Prof Ansari
 
Taipei.py 2018 - Control device via ioctl from Python
Taipei.py 2018 - Control device via ioctl from Python Taipei.py 2018 - Control device via ioctl from Python
Taipei.py 2018 - Control device via ioctl from Python
Hua Chu
 
Коварный code type ITGM #9
Коварный code type ITGM #9Коварный code type ITGM #9
Коварный code type ITGM #9
Andrey Zakharevich
 
Алексей Кутумов, Coroutines everywhere
Алексей Кутумов, Coroutines everywhereАлексей Кутумов, Coroutines everywhere
Алексей Кутумов, Coroutines everywhere
Sergey Platonov
 

What's hot (20)

codings related to avr micro controller
codings related to avr micro controllercodings related to avr micro controller
codings related to avr micro controller
 
Verilog code all
Verilog code allVerilog code all
Verilog code all
 
3
33
3
 
Pro typescript.ch03.Object Orientation in TypeScript
Pro typescript.ch03.Object Orientation in TypeScriptPro typescript.ch03.Object Orientation in TypeScript
Pro typescript.ch03.Object Orientation in TypeScript
 
Java, Up to Date Sources
Java, Up to Date SourcesJava, Up to Date Sources
Java, Up to Date Sources
 
Code
CodeCode
Code
 
Welcome to Modern C++
Welcome to Modern C++Welcome to Modern C++
Welcome to Modern C++
 
Jamming attack in wireless network
Jamming attack in wireless networkJamming attack in wireless network
Jamming attack in wireless network
 
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
CROCHET - Checkpoint Rollback in JVM (ECOOP 2018)
 
Arduino coding class
Arduino coding classArduino coding class
Arduino coding class
 
Arduino coding class part ii
Arduino coding class part iiArduino coding class part ii
Arduino coding class part ii
 
Legacy codesmalltalk
Legacy codesmalltalkLegacy codesmalltalk
Legacy codesmalltalk
 
โครงงาน เครื่องคิดเลข
โครงงาน เครื่องคิดเลขโครงงาน เครื่องคิดเลข
โครงงาน เครื่องคิดเลข
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)
 
Vhdlbputspdas
VhdlbputspdasVhdlbputspdas
Vhdlbputspdas
 
Basic C++ 11/14 for Python Programmers
Basic C++ 11/14 for Python ProgrammersBasic C++ 11/14 for Python Programmers
Basic C++ 11/14 for Python Programmers
 
Aodv routing protocol code in ns2
Aodv routing protocol code in ns2Aodv routing protocol code in ns2
Aodv routing protocol code in ns2
 
Taipei.py 2018 - Control device via ioctl from Python
Taipei.py 2018 - Control device via ioctl from Python Taipei.py 2018 - Control device via ioctl from Python
Taipei.py 2018 - Control device via ioctl from Python
 
Коварный code type ITGM #9
Коварный code type ITGM #9Коварный code type ITGM #9
Коварный code type ITGM #9
 
Алексей Кутумов, Coroutines everywhere
Алексей Кутумов, Coroutines everywhereАлексей Кутумов, Coroutines everywhere
Алексей Кутумов, Coroutines everywhere
 

Similar to OpenBot-Code

Senior design project code for PPG
Senior design project code for PPGSenior design project code for PPG
Senior design project code for PPG
FrankDin1
 
Metal detecting robot sketch
Metal detecting robot sketchMetal detecting robot sketch
Metal detecting robot sketch
Akhil Unnikrishnan
 
CODING IN ARDUINO
CODING IN ARDUINOCODING IN ARDUINO
CODING IN ARDUINO
S Ayub
 
include ltiostreamgt include ltstringgt include .pdf
include ltiostreamgt include ltstringgt include .pdfinclude ltiostreamgt include ltstringgt include .pdf
include ltiostreamgt include ltstringgt include .pdf
contact32
 
Code Generation in PHP - PHPConf 2015
Code Generation in PHP - PHPConf 2015Code Generation in PHP - PHPConf 2015
Code Generation in PHP - PHPConf 2015
Lin Yo-An
 
Actor Concurrency
Actor ConcurrencyActor Concurrency
Actor Concurrency
Alex Miller
 
Cocos2d Performance Tips
Cocos2d Performance TipsCocos2d Performance Tips
Cocos2d Performance Tips
Keisuke Hata
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15
종인 전
 
Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...
Karthik Rathinavel
 
DomCode 2015 - Abusing phones to make the internet of things
DomCode 2015 - Abusing phones to make the internet of thingsDomCode 2015 - Abusing phones to make the internet of things
DomCode 2015 - Abusing phones to make the internet of things
Jan Jongboom
 
2.1 ### uVision Project, (C) Keil Software .docx
2.1   ### uVision Project, (C) Keil Software    .docx2.1   ### uVision Project, (C) Keil Software    .docx
2.1 ### uVision Project, (C) Keil Software .docx
tarifarmarie
 
Verilog_Examples (1).pdf
Verilog_Examples (1).pdfVerilog_Examples (1).pdf
Verilog_Examples (1).pdf
DrViswanathKalannaga1
 
operating system Linux,ubuntu,Mac#include stdio.h #include .pdf
operating system Linux,ubuntu,Mac#include stdio.h #include .pdfoperating system Linux,ubuntu,Mac#include stdio.h #include .pdf
operating system Linux,ubuntu,Mac#include stdio.h #include .pdf
aquazac
 
Workshop 1: Good practices in JavaScript
Workshop 1: Good practices in JavaScriptWorkshop 1: Good practices in JavaScript
Workshop 1: Good practices in JavaScript
Visual Engineering
 
#include LPC17xx.h#include Lights.h#include traffic_fo.docx
#include LPC17xx.h#include Lights.h#include traffic_fo.docx#include LPC17xx.h#include Lights.h#include traffic_fo.docx
#include LPC17xx.h#include Lights.h#include traffic_fo.docx
ajoy21
 
Java Bytecode Crash Course [Code One 2019]
Java Bytecode Crash Course [Code One 2019]Java Bytecode Crash Course [Code One 2019]
Java Bytecode Crash Course [Code One 2019]
David Buck
 
Aurduino coding for transformer interfacing
Aurduino coding for transformer interfacingAurduino coding for transformer interfacing
Aurduino coding for transformer interfacing
COMSATS Abbottabad
 
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
odiliagilby
 
Npc13
Npc13Npc13
operating system ubuntu,linux,MacProgram will work only if you g.pdf
operating system ubuntu,linux,MacProgram will work only if you g.pdfoperating system ubuntu,linux,MacProgram will work only if you g.pdf
operating system ubuntu,linux,MacProgram will work only if you g.pdf
aptcomputerzone
 

Similar to OpenBot-Code (20)

Senior design project code for PPG
Senior design project code for PPGSenior design project code for PPG
Senior design project code for PPG
 
Metal detecting robot sketch
Metal detecting robot sketchMetal detecting robot sketch
Metal detecting robot sketch
 
CODING IN ARDUINO
CODING IN ARDUINOCODING IN ARDUINO
CODING IN ARDUINO
 
include ltiostreamgt include ltstringgt include .pdf
include ltiostreamgt include ltstringgt include .pdfinclude ltiostreamgt include ltstringgt include .pdf
include ltiostreamgt include ltstringgt include .pdf
 
Code Generation in PHP - PHPConf 2015
Code Generation in PHP - PHPConf 2015Code Generation in PHP - PHPConf 2015
Code Generation in PHP - PHPConf 2015
 
Actor Concurrency
Actor ConcurrencyActor Concurrency
Actor Concurrency
 
Cocos2d Performance Tips
Cocos2d Performance TipsCocos2d Performance Tips
Cocos2d Performance Tips
 
망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15망고100 보드로 놀아보자 15
망고100 보드로 놀아보자 15
 
Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...Sine Wave Generator with controllable frequency displayed on a seven segment ...
Sine Wave Generator with controllable frequency displayed on a seven segment ...
 
DomCode 2015 - Abusing phones to make the internet of things
DomCode 2015 - Abusing phones to make the internet of thingsDomCode 2015 - Abusing phones to make the internet of things
DomCode 2015 - Abusing phones to make the internet of things
 
2.1 ### uVision Project, (C) Keil Software .docx
2.1   ### uVision Project, (C) Keil Software    .docx2.1   ### uVision Project, (C) Keil Software    .docx
2.1 ### uVision Project, (C) Keil Software .docx
 
Verilog_Examples (1).pdf
Verilog_Examples (1).pdfVerilog_Examples (1).pdf
Verilog_Examples (1).pdf
 
operating system Linux,ubuntu,Mac#include stdio.h #include .pdf
operating system Linux,ubuntu,Mac#include stdio.h #include .pdfoperating system Linux,ubuntu,Mac#include stdio.h #include .pdf
operating system Linux,ubuntu,Mac#include stdio.h #include .pdf
 
Workshop 1: Good practices in JavaScript
Workshop 1: Good practices in JavaScriptWorkshop 1: Good practices in JavaScript
Workshop 1: Good practices in JavaScript
 
#include LPC17xx.h#include Lights.h#include traffic_fo.docx
#include LPC17xx.h#include Lights.h#include traffic_fo.docx#include LPC17xx.h#include Lights.h#include traffic_fo.docx
#include LPC17xx.h#include Lights.h#include traffic_fo.docx
 
Java Bytecode Crash Course [Code One 2019]
Java Bytecode Crash Course [Code One 2019]Java Bytecode Crash Course [Code One 2019]
Java Bytecode Crash Course [Code One 2019]
 
Aurduino coding for transformer interfacing
Aurduino coding for transformer interfacingAurduino coding for transformer interfacing
Aurduino coding for transformer interfacing
 
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
__MACOSX._assign3assign3.DS_Store__MACOSXassign3._.D.docx
 
Npc13
Npc13Npc13
Npc13
 
operating system ubuntu,linux,MacProgram will work only if you g.pdf
operating system ubuntu,linux,MacProgram will work only if you g.pdfoperating system ubuntu,linux,MacProgram will work only if you g.pdf
operating system ubuntu,linux,MacProgram will work only if you g.pdf
 

Recently uploaded

一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
bttak
 
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
bttak
 
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
akrooshsaleem36
 
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
nvoyobt
 
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER""IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
Emmanuel Onwumere
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalRBuilding a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
Peter Gallagher
 
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
bttak
 
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
uwoso
 
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
lopezkatherina914
 
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
hanniaarias53
 

Recently uploaded (10)

一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
一比一原版圣托马斯大学毕业证(UST毕业证书)学历如何办理
 
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
一比一原版不列颠哥伦比亚大学毕业证(UBC毕业证书)学历如何办理
 
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
欧洲杯投注-欧洲杯投注押注app-欧洲杯投注押注app官网|【​网址​🎉ac10.net🎉​】
 
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
买(usyd毕业证书)澳洲悉尼大学毕业证研究生文凭证书原版一模一样
 
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER""IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
"IOS 18 CONTROL CENTRE REVAMP STREAMLINED IPHONE SHUTDOWN MADE EASIER"
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalRBuilding a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR
 
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
一比一原版西三一大学毕业证(TWU毕业证书)学历如何办理
 
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
按照学校原版(UPenn文凭证书)宾夕法尼亚大学毕业证快速办理
 
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
欧洲杯体彩-欧洲杯体彩比赛投注-欧洲杯体彩比赛投注官网|【​网址​🎉ac99.net🎉​】
 
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
欧洲杯赌钱-欧洲杯赌钱冠军-欧洲杯赌钱冠军赔率|【​网址​🎉ac10.net🎉​】
 

OpenBot-Code

  • 1. #define IN1 8  #define IN2 9  #define IN3 10  #define IN4 11  #define IN5 4  #define IN6 5  #define IN7 6  #define IN8 7  #define INn1 22  #define INn2 24  #define INn3 26  #define INn4 28  #include <Servo.h>  Servo myservo; // create servo object to control a servo  // twelve servo objects can be created on most boards  int pos = 0;   int Steps = 0;  int Steps1 = 0;  int Steps2 = 0;  boolean Direction = true;// gre  boolean Direction1 = true;// gre  boolean Direction2 = true;// gre  unsigned long last_time;  unsigned long currentMillis ;  int steps_left=40950;  int steps_left1=40950;  int steps_left2=40950;  int k=0;  long time;  void setup()  {  Serial.begin(115200);  pinMode(IN1, OUTPUT);   pinMode(IN2, OUTPUT);   pinMode(IN3, OUTPUT);   pinMode(IN4, OUTPUT);  pinMode(IN5, OUTPUT);   pinMode(IN6, OUTPUT);   pinMode(IN7, OUTPUT);   pinMode(IN8, OUTPUT);  pinMode(INn1, OUTPUT);   pinMode(INn2, OUTPUT);   pinMode(INn3, OUTPUT);   pinMode(INn4, OUTPUT);  myservo.attach(12);    // delay(1000);  }  //_____________________________________________________________  void motor1 (int spd1 ,int steps1 ,int dir1 ){  steps_left1 = steps1 ; 
  • 2. Direction1 = dir1 ;  while(steps_left1>0){  currentMillis = micros();  if(currentMillis-last_time>=spd1){  stepper1(1);   time=time+micros()-last_time;  last_time=micros();  steps_left1--;  }     //delay(1000);  //Direction=!Direction;       }             }  //-___________________________________________________________  void loop()  {  k=0;  while(k<2000){  motor (1000 , 1 , 0 );  motor1 (1000 , 1 , 1 );  k++;  }  while(k<3500){  motor (3700 , 1 , 1 );  motor2 (1000 , 1 , 1 );  k++;  }  while(k<5000){  motor (1000 , 1 , 1 );  motor2 (1000 , 1 , 0 );  k++;  }  myservo.write(30);  delay(1000);  while(k<5500){  motor (3700 , 1 , 0 );  motor1 (1000 , 1 , 0 );  motor2 (1000 , 1 , 0 );  k++;  }  myservo.write(0);  delay(2000);  /* while(k<9000){  motor (800 , 1 , 0 ); 
  • 3. motor1 (800 , 1 , 1 );  k++;  }  while(k<12000){  motor (800 , 1 , 0 );  motor1 (1000 , 1 , 0 );  k++;  }*/         }  void motor (int spd ,int steps ,int dir ){  steps_left = steps ;  Direction = dir ;  while(steps_left>0){  currentMillis = micros();  if(currentMillis-last_time>=spd){  stepper(1);   time=time+micros()-last_time;  last_time=micros();  steps_left--;      }     //delay(1000);  //Direction=!Direction;       }             }  //____________________________________________________________________________________ _____    void motor2 (int spd2 ,int steps2 ,int dir2 ){  steps_left2 = steps2 ;  Direction2 = dir2 ;  while(steps_left2>0){  currentMillis = micros();  if(currentMillis-last_time>=spd2){  stepper2(1);   time=time+micros()-last_time;  last_time=micros();  steps_left2--;  }     //delay(1000);  //Direction=!Direction; 
  • 4.      }             }  //__________________________________________________  void stepper(int xw){  for (int x=0;x<xw;x++){  switch(Steps){  case 0:  digitalWrite(IN1, LOW);   digitalWrite(IN2, LOW);  digitalWrite(IN3, LOW);  digitalWrite(IN4, HIGH);  break;   case 1:  digitalWrite(IN1, LOW);   digitalWrite(IN2, LOW);  digitalWrite(IN3, HIGH);  digitalWrite(IN4, HIGH);  break;   case 2:  digitalWrite(IN1, LOW);   digitalWrite(IN2, LOW);  digitalWrite(IN3, HIGH);  digitalWrite(IN4, LOW);  break;   case 3:  digitalWrite(IN1, LOW);   digitalWrite(IN2, HIGH);  digitalWrite(IN3, HIGH);  digitalWrite(IN4, LOW);  break;   case 4:  digitalWrite(IN1, LOW);   digitalWrite(IN2, HIGH);  digitalWrite(IN3, LOW);  digitalWrite(IN4, LOW);  break;   case 5:  digitalWrite(IN1, HIGH);   digitalWrite(IN2, HIGH);  digitalWrite(IN3, LOW);  digitalWrite(IN4, LOW);  break;   case 6:  digitalWrite(IN1, HIGH);   digitalWrite(IN2, LOW); 
  • 5. digitalWrite(IN3, LOW);  digitalWrite(IN4, LOW);  break;   case 7:  digitalWrite(IN1, HIGH);   digitalWrite(IN2, LOW);  digitalWrite(IN3, LOW);  digitalWrite(IN4, HIGH);  break;   default:  digitalWrite(IN1, LOW);   digitalWrite(IN2, LOW);  digitalWrite(IN3, LOW);  digitalWrite(IN4, LOW);  break;   }  SetDirection();  }  }   //____________________________________________________________________________________ ________  void stepper1(int xy){  for (int x=0;x<xy;x++){  switch(Steps1){  case 0:  digitalWrite(IN5, LOW);   digitalWrite(IN6, LOW);  digitalWrite(IN7, LOW);  digitalWrite(IN8, HIGH);  break;   case 1:  digitalWrite(IN5, LOW);   digitalWrite(IN6, LOW);  digitalWrite(IN7, HIGH);  digitalWrite(IN8, HIGH);  break;   case 2:  digitalWrite(IN5, LOW);   digitalWrite(IN6, LOW);  digitalWrite(IN7, HIGH);  digitalWrite(IN8, LOW);  break;   case 3:  digitalWrite(IN5, LOW);   digitalWrite(IN6, HIGH);  digitalWrite(IN7, HIGH);  digitalWrite(IN8, LOW);  break;   case 4:  digitalWrite(IN5, LOW);  
  • 6. digitalWrite(IN6, HIGH);  digitalWrite(IN7, LOW);  digitalWrite(IN8, LOW);  break;   case 5:  digitalWrite(IN5, HIGH);   digitalWrite(IN6, HIGH);  digitalWrite(IN7, LOW);  digitalWrite(IN8, LOW);  break;   case 6:  digitalWrite(IN5, HIGH);   digitalWrite(IN6, LOW);  digitalWrite(IN7, LOW);  digitalWrite(IN8, LOW);  break;   case 7:  digitalWrite(IN5, HIGH);   digitalWrite(IN6, LOW);  digitalWrite(IN7, LOW);  digitalWrite(IN8, HIGH);  break;   default:  digitalWrite(IN5, LOW);   digitalWrite(IN6, LOW);  digitalWrite(IN7, LOW);  digitalWrite(IN8, LOW);  break;   }  SetDirection1();  }  }  //____________________________________________________________________  void stepper2(int xz){  for (int x=0;x<xz;x++){  switch(Steps2){  case 0:  digitalWrite(INn1, LOW);   digitalWrite(INn2, LOW);  digitalWrite(INn3, LOW);  digitalWrite(INn4, HIGH);  break;   case 1:  digitalWrite(INn1, LOW);   digitalWrite(INn2, LOW);  digitalWrite(INn3, HIGH);  digitalWrite(INn4, HIGH);  break;   case 2:  digitalWrite(INn1, LOW);  
  • 7. digitalWrite(INn2, LOW);  digitalWrite(INn3, HIGH);  digitalWrite(INn4, LOW);  break;   case 3:  digitalWrite(INn1, LOW);   digitalWrite(INn2, HIGH);  digitalWrite(INn3, HIGH);  digitalWrite(INn4, LOW);  break;   case 4:  digitalWrite(INn1, LOW);   digitalWrite(INn2, HIGH);  digitalWrite(INn3, LOW);  digitalWrite(INn4, LOW);  break;   case 5:  digitalWrite(INn1, HIGH);   digitalWrite(INn2, HIGH);  digitalWrite(INn3, LOW);  digitalWrite(INn4, LOW);  break;   case 6:  digitalWrite(INn1, HIGH);   digitalWrite(INn2, LOW);  digitalWrite(INn3, LOW);  digitalWrite(INn4, LOW);  break;   case 7:  digitalWrite(INn1, HIGH);   digitalWrite(INn2, LOW);  digitalWrite(INn3, LOW);  digitalWrite(INn4, HIGH);  break;   default:  digitalWrite(INn1, LOW);   digitalWrite(INn2, LOW);  digitalWrite(INn3, LOW);  digitalWrite(INn4, LOW);  break;   }  SetDirection2();  }  }   //______________________________________________________  void SetDirection(){  if(Direction==1){ Steps++;}  if(Direction==0){ Steps--; }  if(Steps>7 ){Steps=0;}  if(Steps<0){Steps=7; } 
  • 8. }  //___________________________________________________________  void SetDirection1(){  if(Direction1==1){ Steps1++;}  if(Direction1==0){ Steps1--; }  if(Steps1>7 ){Steps1=0;}  if(Steps1<0){Steps1=7; }  }  //______________________________  void SetDirection2(){  if(Direction2==1){ Steps2++;}  if(Direction2==0){ Steps2--; }  if(Steps2>7 ){Steps2=0;}  if(Steps2<0){Steps2=7; }  }