SlideShare a Scribd company logo
1 of 45
1 
Mikroprosesor 
Bab 5: Memori 
ROM 
RAM 
Memori
Memori 
• Flip-flop: memori 1-bit 
• Register: memori n-bit, satu lokasi 
• Memori: penyimpan data n-bit, m-lokasi 
Flip-flop Register 
4-bit 
0 1 0 1 2 
1 1 1 0 
0 0 0 1 
Memori m x n 
1 
0 
MSB LSB MSB LSB 
m 
n 
Memori 2
Memori 3
ROM (Read Only Memory) 
ROM Merupakan chip (IC=integrated 
circuit) yang menyediakan fungsi 
penyimpanan data yang bersifat “hanya 
dapat dibaca saja, tidak dapat ditulisi”, dan 
sifat penyimpanannya permanen (jika 
catudayanya ditiadakan, isi ROM tetap 
ada). Tipe memori ini sering disebut 
sebagai memori yang tidak mudah berubah 
(nonvolatile memory). 
Memori 4
PROM (Programmable ROM) 
PROM merupakan ROM yang isinya 
diprogram oleh pabriknya. Jenisnya: ROM 
Matriks Diode/Transistor BJT/FET 
Memori 5
Sel PROM 
Pemilih 
Output 
Data 
+Vcc 
Pemilih 
Output 
Data 
+Vcc 
Diputus 
Menyimpan data “1” Menyimpan data “0” 
Memori 6 
Transistor
PROM 
LOKASI/ 
ALAMAT 
DATA DALAM BINER DATA DALAM 
HEKSADESIMAL 
D3 D2 D1 D0 
0 1 1 0 0 C 
1 1 0 1 0 A 
2 0 1 0 0 4 
3 0 1 0 1 5 
7 
Misal pabrik akan membuat ROM dengan ukuran 4X4-bit, 
dengan data yang tersimpan di dalamnya seperti tabel ini: 
Memori
PROM 
DEKODER 
0 
1 
2 
3 
+Vcc +Vcc 
+Vcc +Vcc 
X3 0 
1 
A0 
A1 
+Vcc 
+Vcc 
X2 X1 
OE TRI-STATE SWITCH 
CE 
+Vcc 
X0 
Memori 8 
D3 D2 D1 D0 
Organisasi ROM
Simbol ROM 4x4-bit 
Pin Alamat 
Pin Kontrol 
Pin Data 
ROM 
4x4-bit 
D0 
D1 
D2 
D3 
A0 
A1 
1 CS 
CS 2 
Pin A0,A1 digunakan untuk memilih alamat 
Pin Kontrol digunakan untukM emmoerinyediakan saluran output. 9
Simbol ROM 4x4-bit 
Pin Alamat 
Pin Kontrol 
Pin Data 
ROM 
4x4 bit 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
OE=Output enable jenis ACTIVE-LOW 
CE=Chip enable jenis ACTIMVemEo-riLOW 10
Simbol ROM 
ROM 
4X8-bit 
D0 
D1 
D2 
D3 
D4 
D5 
D6 
D7 = 
... ... 
OE=Output enable jenis ACTIVE-LOW 
CE=Chip enable jenis ACTIVE-LOW 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
ROM 
4 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
ROM 4 byte 
ROM 
128 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A3 
A4 
A5 
A6 
ROM 
256 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A3 
A4 
A5 
A6 
A7 
ROM 
1KB 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A9 
... 
... 
ROM 
4KB 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A11 
ROM 
16KB 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A13 
... 
... 
ROM 
64KB 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
A15 
... 
... 
Kapasitas naik 2 kali, 
Memori pin alamat bertam1b1 ah 1
EPROM (Erasable PROM) 
EPROM Adalah ROM yang 
dapat dihapus dan 
diprogram isinya oleh 
pengguna. UV-EPROM 
adalah ROM yang isinya 
dapat dihapus dengan sinar 
Ultra Violet. Untuk 
memrogram ROM ini 
digunakan EPROM 
Programmer 
Memori 12
Contoh IC EPROM 
Memori 13
Pinout EPROM 
Memori 14
Kapasitas EPROM 
NO. SERI ARTI KAPASITAS JML. PIN 
ALAMAT 
2716 16=2K X 8-bit=2Kbyte 2Kbyte 11 
2732 32=4K X 8-bit=4Kbyte 4Kbyte 12 
2764 64=8K X 8-bit=8Kbyte 8Kbyte 13 
27128 128=16K X 8-bit=16Kbyte 16Kbyte 14 
27256 256=32K X 8-bit=32Kbyte 32Kbyte 15 
27512 512=64K X 8-bit=64Kbyte 64Kbyte 16 
Memori 15
Contoh EPROM Programmer 
Memori 16
EPROM 
Cara Menghapus EPROM: 
Lepaskan EPROM dari sistem 
Buka penutup jendela transparan 
Sinari jendela transparan dengan sinar ultra violet 
beberapa menit (kurang lebih 15 menit) 
Cara Memrogram EPROM: 
Hapus terlebih dahulu seluruh isinya dengan sinar 
ultra violet 
Pasang EPROM pada EPROM Programmer 
Isilah EPROM dengan data menggunakan 
EPROM ProgrammerMemori 17
EPROM 
• Penghapusan UV-EPROM dilakukan dengan 
menggunakan sinar ultra violet. 
EPROM 
Sinar Ultra Violet 
beberapa menit, maka 
data akan terhapus 
Jendela Transparans 
Pin atau terminal IC 
EPROM 
ERASER 
Memori 18
EEPROM 
• EEPROM (Electrically EPROM)  flash 
ROM yang isinya dapat dihapus dan diprogram 
secara elektris. Contoh: CMOS Setup pada PC. 
Jika ingin mengubah konfigurasi PC, maka pada 
saat booting tekan tombol Del sehingga muncul 
informasi konfigurasi yang akan diubah. 
Pengubahan konfigurasi, pada dasarnya adalah 
memrogram CMOS/EEPROM secara elektris. 
Memori 19
EEPROM 
Cara memrogram EEPROM: 
 EEPROM tetap terpasang pada sistem 
 Lakukan penghapusan dan pengisian data 
Kelebihan EEPROM dibandingkan dengan EPROM: 
 Isinya dapat diprogram bagian per bagian, sedangkan pada EPROM 
untuk memrogram harus menghapus seluruh isinya terlebih dahulu 
sehingga tidak memungkinkan permograman bagian per bagian. 
 Pengahupusan EEPROM lebih cepat dibandingkan EPROM, karena 
dilakukan secara elektris. Waktu penghapusan pada EEPROM dalam 
orde mili detik (ms) sedangkan pada EPROM pada orde menit. 
 Pemrograman EEPROM dapat dilakukan tanpa melepaskannya dari 
sistem, sedangkan untuk EPROM harus dilepaskan dari sistem. 
Memori 20
Cara MP Membaca ROM 
Misal di dalam ROM 8 byte berisi data sebagai berikut: 
Alamat Isi (Dalam 
Heksadesimal) 
0 02 
1 A1 
2 B2 
3 5C 
4 00 
5 45 
6 FF 
7 Memori E6 21
Sistem Interkoneksi Bus 
Pada Mikrokomputer 
MP ROM RAM 
BUS ALAMAT 
BUS DATA 
BUS KONTROL 
I/O Interface 
Bus: Kumpulan konduktor, yang 
membawa sinyal-sinyal: alamat, data, dan 
kontrol. 
Memori 22
Cara MP Membaca ROM 
Mikroprosesor membaca alamat 5 dari ROM 8 byte: 
ROM 
8 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
1 
01 
0 
0 
Tahap I 
Tahap II 
1 
0 0 0 1 0 
1 
0 
Tahap III 
1. Mikroprosesor mengirim sinyal 
alamat 5 yakni A2A1A0=101 
lewat bus alamat ke pin alamat 
ROM (tahap I) 
2. Mikroprosesor mengirim sinyal 
CE = 0, dan OE = 0 
kontrol 
untuk mengaktifkan ROM 
(Tahap II) 
3. Data akan ditempatkan pada bus 
data sehingga pada bus data 
terdapat data 45 (tahap 3) 
Memori 23
RAM (Random Access Memory) 
RAM Merupakan chip yang 
menyediakan fungsi penyimpanan data 
yang bersifat “dapat dibaca dan 
ditulisi”, dan sifat penyimpanannya 
sementara (jika catudayanya 
ditiadakan, isi RAM hilang) 
Memori 24
Static RAM (SRAM) 
Merupakan RAM yang sel-selnya 
menggunakan flip-flop sehingga: (1) 
datanya relatif stabil/statis sehingga tidak 
diperlukan adanya rangkaian “refresh”, (2) 
lebih cepat, (3) kepadatan komponen 
rendah/kapasitas kecil, (4) mahal 
Memori 25
Sel SRAM 
SELECT 
S 
Q 
R 
INPUT 
OUTPUT 
RWS 
READ/WRITE SELECT 
SELECT 
MC 
INPUT 
RWS 
OUTPUT 
MC: memory cell 
SELECT (CS) RWS MODE 
1 0 Write 
1 1 Read 
0 X INACTIVE 
Memori 26
MC MC MC MC 
MC MC MC MC 
MC MC MC MC 
MC MC MC MC 
DEKODER 
0 
1 
2 
3 
A 1 
A 0 
1 
0 
IO 3 IO 2 IO 1 IO 0 
RWS 
CS 
Organisasi SRAM: Bentuk 1 
27
Simbol RAM 4x4-bit: Bentuk 1 
Pin Alamat 
Pin Kontrol 
Pin Data 
RAM 
4x4-bit 
I/O 0 
I/O 1 
A0 
A1 
RWS 
CS 
I/O 2 
I/O 3 
RWS=Read/Write Select 
CS=Chip Select, ACTIVE-HIGH 28
MC MC MC MC 
MC MC MC MC 
MC MC MC MC 
MC MC MC MC 
DEKODER 
0 
1 
2 
3 
A 1 
A 0 
1 
0 
IO 3 IO 2 IO 1 IO 0 
WE 
OE 
CE 
Organisasi SRAM: Bentuk 2 
29
Simbol RAM 4x4-bit: Bentuk 2 
Pin Alamat 
Pin Kontrol 
Pin Data 
RAM 
4x4-bit 
A0 
A1 
WE 
OE 
CE 
I/O 0 
I/O 1 
I/O 2 
I/O 3 
WE=Write Enable 
OE=Output enable jenis ACTIVE-LOW 
CE=Chip enable jenis ACTIVE-LOW 30
Cara MP Membaca dan Menulisi RAM 
Misal di dalam RAM 8 byte berisi data sebagai berikut: 
Alamat Isi (Dalam 
Heksadesimal) 
0 13 
1 FF 
2 C4 
3 6D 
4 FF 
5 57 
6 FF 
7 Memori FF 31
Cara MP Membaca RAM 
Mikroprosesor membaca alamat 3 dari RAM 8 byte: 
1. Mikroprosesor mengirim sinyal 
alamat 3 yakni A2A1A0=011 
lewat bus alamat ke pin alamat 
RAM (tahap I) 
2. Mikroprosesor mengirim sinyal 
CE = 0, OE = 0, dan WE =1 
kontrol 
untuk mengaktifkan mode baca 
RAM (Tahap II) 
3. Data akan ditempatkan pada bus 
data sehingga pada bus data 
terdapat data 6D (tahap 3) 
RAM 
8 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
1 
10 
0 
0 
Tahap I 
Tahap II 
1 
1 0 1 1 0 
1 
0 
Tahap III 
WE 
1 
Memori 32
Cara MP Menulisi RAM 
Mikroprosesor menulisi alamat 4 dari RAM 8 byte dengan data A2: 
1. Mikroprosesor mengirim sinyal 
alamat 4 yakni A2A1A0=100 
lewat bus alamat ke pin alamat 
RAM (tahap I) 
2. Mikroprosesor menempatkan 
data A2 pada bus data (tahap II) 
3. ikroprosesor mengirim sinyal 
kontrol 
untuk mengaktifkan mode tulis 
RAM (Tahap III) 
CE = 0, OE =1, dan WE = 0 
RAM 
8 byte 
D0 
D1 
D2 
D3 
A0 
A1 
OE 
CE 
D4 
D5 
D6 
D7 
A2 
0 
01 
1 
0 
Tahap I 
Tahap III 
0 
1 0 0 0 1 
0 
1 
Tahap II 
WE 
0 
Memori 33
Contoh IC Static RAM Seri 6116 
PIN NAMES 
A0-A10 Address Inputs 
I/O0-I/O7 Data Inputs/Outputs 
/CE Active LOW Chip Enable 
/OE Active LOW Output Enable 
/WE Active LOW Write Enable 
34 
SRAM 2Kbyte
Dynamic RAM (DRAM) 
Merupakan RAM yang sel-selnya 
menggunakan kapasitor sehingga: (1) 
datanya tidak stabil/dinamis sehingga 
diperlukan rangkaian “refresh”, (2) lebih 
lambat, (3) kepadatan komponen 
tinggi/kapasitas besar, (4) lebih murah 
Memori 35
Sel DRAM 
Memori 36
Sel DRAM 
C E L L A R R A Y 
4 x 4 
Memori 37
Organisasi DRAM 
Column address 
decoder Row address 
Row address latch R/W 
CELL ARRAY 
4 x 4 
Din 
Memori 38 
decoder 
Column address 
latch 
A 2 A 3 
A 0 
A 1 
CAS 
RAS 
A 0 /A 2 
A 1 /A 3 
CS 
Dout 
Input 
buffer 
Output 
buffer
Operasi Read DRAM 
• Alamat baris (A1A0) ditempatkan pada pin alamat lewat bus alamat 
• Pin RAS diaktifkan agar sinyal-sinyal alamat baris menempati input 
dekoder alamat baris. 
• Dekoder alamat baris memilih baris data yang diinginkan yang akan 
dikirim ke buffer out 
• Pin CS dan R/W diaktifkan pada mode read 
• Alaman kolom (A3A2) ditempatkan pada pin alamat lewat bus alamat 
• Pin CAS diaktifkan untuk menempatkan sinyal alamat pada input 
dekoder kolom. Pin ini selalu memberikan Output Enable, setiap 
sinyal CAS diberikan 
• Data akan ditempatkan pada .Dout 
• RAS dan CAS dinonaktifkan untuk melaksanakan operasi berikutnya 
Memori 39
Operasi Read DRAM 
Memori 40
Operasi Write DRAM 
• Data yang akan disimpan ditempatkan pada Din 
• Alamat baris (A1A0) ditempatkan pada pin alamat lewat bus alamat 
• Pin RAS diaktifkan agar sinyal-sinyal alamat baris menempati input 
dekoder alamat baris. 
• Dekoder alamat baris memilih lokasi baris yang akan ditempati data 
dari buffer in 
• Pin CS dan R/W diaktifkan pada mode write 
• Alaman kolom (A3A2) ditempatkan pada pin alamat lewat bus alamat 
• Pin CAS diaktifkan untuk menempatkan sinyal alamat pada input 
dekoder kolom. 
• Data akan ditempatkan pada alamat pada array sesuai yang diinginkan 
• RAS dan CAS dinonaktifkan untuk melaksanakan operasi berikutnya 
Memori 41
Memori 42 DRAM 1M X 1
DRAM 64K X 1-bit 
Memori 43
DRAM 64K X 8-bit 
Memori 44
KONEKSI DRAM DATA n-bit 
Memori 45

More Related Content

What's hot

Menyederhanakan fungsi boolean dengan menggunakan metode quin1
Menyederhanakan fungsi boolean dengan menggunakan metode quin1Menyederhanakan fungsi boolean dengan menggunakan metode quin1
Menyederhanakan fungsi boolean dengan menggunakan metode quin1BAIDILAH Baidilah
 
Algoritma Apriori
Algoritma AprioriAlgoritma Apriori
Algoritma Aprioridedidarwis
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)personal
 
Rangkaian sekuensial flipflop
Rangkaian sekuensial flipflopRangkaian sekuensial flipflop
Rangkaian sekuensial flipflopMuhammad Zami
 
Set intruksi z80
Set intruksi z80Set intruksi z80
Set intruksi z80Aim Zayyini
 
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16University of Lampung
 
Organisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasiOrganisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasidaru2501
 
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR KEN KEN
 
Notasi Bahasa - P 5,6,7
Notasi Bahasa - P 5,6,7 Notasi Bahasa - P 5,6,7
Notasi Bahasa - P 5,6,7 ahmad haidaroh
 
Modul teknik digital dan logika
Modul teknik digital dan logikaModul teknik digital dan logika
Modul teknik digital dan logikaBambang Apriyanto
 
Pertemuan 6 Penyederhanaan RL-Karnaugh Map
Pertemuan 6   Penyederhanaan RL-Karnaugh MapPertemuan 6   Penyederhanaan RL-Karnaugh Map
Pertemuan 6 Penyederhanaan RL-Karnaugh Mapahmad haidaroh
 
Analisis Semantik - P 6 Teknik Kompilasi
Analisis Semantik - P 6 Teknik KompilasiAnalisis Semantik - P 6 Teknik Kompilasi
Analisis Semantik - P 6 Teknik Kompilasiahmad haidaroh
 

What's hot (20)

Menyederhanakan fungsi boolean dengan menggunakan metode quin1
Menyederhanakan fungsi boolean dengan menggunakan metode quin1Menyederhanakan fungsi boolean dengan menggunakan metode quin1
Menyederhanakan fungsi boolean dengan menggunakan metode quin1
 
Algoritma Apriori
Algoritma AprioriAlgoritma Apriori
Algoritma Apriori
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)
 
Rangkaian sekuensial flipflop
Rangkaian sekuensial flipflopRangkaian sekuensial flipflop
Rangkaian sekuensial flipflop
 
Set intruksi z80
Set intruksi z80Set intruksi z80
Set intruksi z80
 
Mosfet
MosfetMosfet
Mosfet
 
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16
 
Bab 5 komputer sederhana sap-1
Bab 5   komputer sederhana sap-1Bab 5   komputer sederhana sap-1
Bab 5 komputer sederhana sap-1
 
Organisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasiOrganisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasi
 
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
Pengetahuan Dasar penggunaan Timer dan Counter Microcontroller AVR
 
Flip-Flop
Flip-FlopFlip-Flop
Flip-Flop
 
Algoritma penjadwalan proses
Algoritma penjadwalan prosesAlgoritma penjadwalan proses
Algoritma penjadwalan proses
 
Notasi Bahasa - P 5,6,7
Notasi Bahasa - P 5,6,7 Notasi Bahasa - P 5,6,7
Notasi Bahasa - P 5,6,7
 
Pertemuan 4 orkom
Pertemuan 4 orkomPertemuan 4 orkom
Pertemuan 4 orkom
 
Modul teknik digital dan logika
Modul teknik digital dan logikaModul teknik digital dan logika
Modul teknik digital dan logika
 
2. galat
2. galat2. galat
2. galat
 
Pertemuan 6 Penyederhanaan RL-Karnaugh Map
Pertemuan 6   Penyederhanaan RL-Karnaugh MapPertemuan 6   Penyederhanaan RL-Karnaugh Map
Pertemuan 6 Penyederhanaan RL-Karnaugh Map
 
Konvolusi dan transformasi fourier
Konvolusi dan transformasi fourierKonvolusi dan transformasi fourier
Konvolusi dan transformasi fourier
 
8 kuantisasi
8 kuantisasi8 kuantisasi
8 kuantisasi
 
Analisis Semantik - P 6 Teknik Kompilasi
Analisis Semantik - P 6 Teknik KompilasiAnalisis Semantik - P 6 Teknik Kompilasi
Analisis Semantik - P 6 Teknik Kompilasi
 

Similar to Memori Mikroprosesor

Sistem Mikroprosessor.pdf
Sistem Mikroprosessor.pdfSistem Mikroprosessor.pdf
Sistem Mikroprosessor.pdfAmmadong
 
M I C R O C O N T R O L L E R 2009new
M I C R O C O N T R O L L E R 2009newM I C R O C O N T R O L L E R 2009new
M I C R O C O N T R O L L E R 2009newDeddy Susilo
 
Basic avr-microcontroller-tutorial v3
Basic avr-microcontroller-tutorial v3Basic avr-microcontroller-tutorial v3
Basic avr-microcontroller-tutorial v3Mabekni Yulianto
 
Basic avr-microcontroller-tutorial 8535
Basic avr-microcontroller-tutorial 8535Basic avr-microcontroller-tutorial 8535
Basic avr-microcontroller-tutorial 8535LAZY MAGICIAN
 
Bab 4 memory internal
Bab 4 memory internalBab 4 memory internal
Bab 4 memory internalzulin zul
 
Mikroprosesor & mikrokomputer
Mikroprosesor & mikrokomputerMikroprosesor & mikrokomputer
Mikroprosesor & mikrokomputeragus ismangil
 
Presentasi dan video pembelajaran Mikrokontroller
Presentasi dan video pembelajaran MikrokontrollerPresentasi dan video pembelajaran Mikrokontroller
Presentasi dan video pembelajaran Mikrokontrollerirsyadsyawal1
 
PS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CPS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CJosephine Prasetya
 
SISTEKOM REMEDIAL-ALBERTUS TANUARTA
SISTEKOM REMEDIAL-ALBERTUS TANUARTASISTEKOM REMEDIAL-ALBERTUS TANUARTA
SISTEKOM REMEDIAL-ALBERTUS TANUARTAAlbertusTanuarta
 
Pemahaman Dasar Mikrokontroler
Pemahaman Dasar MikrokontrolerPemahaman Dasar Mikrokontroler
Pemahaman Dasar MikrokontrolerAbel Tasfir
 
Mikroprosesor sttc
Mikroprosesor sttcMikroprosesor sttc
Mikroprosesor sttcBeni Putra
 

Similar to Memori Mikroprosesor (20)

Sistem Mikroprosessor.pdf
Sistem Mikroprosessor.pdfSistem Mikroprosessor.pdf
Sistem Mikroprosessor.pdf
 
Tutorial at89s51
Tutorial at89s51Tutorial at89s51
Tutorial at89s51
 
1 mikrokontroler-avr1
1  mikrokontroler-avr11  mikrokontroler-avr1
1 mikrokontroler-avr1
 
M I C R O C O N T R O L L E R 2009new
M I C R O C O N T R O L L E R 2009newM I C R O C O N T R O L L E R 2009new
M I C R O C O N T R O L L E R 2009new
 
PROYEK1
PROYEK1PROYEK1
PROYEK1
 
Basic avr-microcontroller-tutorial v3
Basic avr-microcontroller-tutorial v3Basic avr-microcontroller-tutorial v3
Basic avr-microcontroller-tutorial v3
 
Basic avr-microcontroller-tutorial 8535
Basic avr-microcontroller-tutorial 8535Basic avr-microcontroller-tutorial 8535
Basic avr-microcontroller-tutorial 8535
 
Bab ii atmega8
Bab ii atmega8Bab ii atmega8
Bab ii atmega8
 
Bab 4 memory internal
Bab 4 memory internalBab 4 memory internal
Bab 4 memory internal
 
Bab 4 memory internal
Bab 4 memory internalBab 4 memory internal
Bab 4 memory internal
 
Bab 4 memory internal
Bab 4 memory internalBab 4 memory internal
Bab 4 memory internal
 
Sistekom calvin
Sistekom calvinSistekom calvin
Sistekom calvin
 
Mikroprosesor & mikrokomputer
Mikroprosesor & mikrokomputerMikroprosesor & mikrokomputer
Mikroprosesor & mikrokomputer
 
Mikroprosesor
MikroprosesorMikroprosesor
Mikroprosesor
 
Presentasi dan video pembelajaran Mikrokontroller
Presentasi dan video pembelajaran MikrokontrollerPresentasi dan video pembelajaran Mikrokontroller
Presentasi dan video pembelajaran Mikrokontroller
 
PS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CPS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa C
 
SISTEKOM REMEDIAL-ALBERTUS TANUARTA
SISTEKOM REMEDIAL-ALBERTUS TANUARTASISTEKOM REMEDIAL-ALBERTUS TANUARTA
SISTEKOM REMEDIAL-ALBERTUS TANUARTA
 
Sensor suhu LM 35
Sensor suhu LM 35Sensor suhu LM 35
Sensor suhu LM 35
 
Pemahaman Dasar Mikrokontroler
Pemahaman Dasar MikrokontrolerPemahaman Dasar Mikrokontroler
Pemahaman Dasar Mikrokontroler
 
Mikroprosesor sttc
Mikroprosesor sttcMikroprosesor sttc
Mikroprosesor sttc
 

More from Olbers Letfaar

Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile Android
Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile AndroidImplementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile Android
Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile AndroidOlbers Letfaar
 
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...Olbers Letfaar
 
Presentation multimedia
Presentation multimediaPresentation multimedia
Presentation multimediaOlbers Letfaar
 
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakan
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakanSistem informasi penjualan minimarket berintegrasi barcode reader menggunakan
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakanOlbers Letfaar
 
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)Olbers Letfaar
 
Mp 4 mode-pengalamatan
Mp 4 mode-pengalamatanMp 4 mode-pengalamatan
Mp 4 mode-pengalamatanOlbers Letfaar
 
Mp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorMp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorOlbers Letfaar
 
Mp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorMp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorOlbers Letfaar
 
Mp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorMp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorOlbers Letfaar
 
Mp 4 mode-pengalamatan
Mp 4 mode-pengalamatanMp 4 mode-pengalamatan
Mp 4 mode-pengalamatanOlbers Letfaar
 
Mp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorMp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorOlbers Letfaar
 
Mp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorMp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorOlbers Letfaar
 
Mp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorMp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorOlbers Letfaar
 
Membuat program android ( konversi jarak)
Membuat program android ( konversi jarak)Membuat program android ( konversi jarak)
Membuat program android ( konversi jarak)Olbers Letfaar
 

More from Olbers Letfaar (15)

Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile Android
Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile AndroidImplementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile Android
Implementasi Aplikasi Menghitung Tip Restoran Berbasis Mobile Android
 
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...
Aplikasi pengenalan sio hewan menggunakan metaio creator berbasis augmented r...
 
photo grapher
photo grapherphoto grapher
photo grapher
 
Presentation multimedia
Presentation multimediaPresentation multimedia
Presentation multimedia
 
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakan
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakanSistem informasi penjualan minimarket berintegrasi barcode reader menggunakan
Sistem informasi penjualan minimarket berintegrasi barcode reader menggunakan
 
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)
Eis (SISTEM INFORMASI ENTERPRISE (ENTERPRISE INFORMATION SYSTEM)
 
Mp 4 mode-pengalamatan
Mp 4 mode-pengalamatanMp 4 mode-pengalamatan
Mp 4 mode-pengalamatan
 
Mp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorMp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesor
 
Mp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorMp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesor
 
Mp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorMp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesor
 
Mp 4 mode-pengalamatan
Mp 4 mode-pengalamatanMp 4 mode-pengalamatan
Mp 4 mode-pengalamatan
 
Mp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesorMp 3 arsitektur-mikroprosesor
Mp 3 arsitektur-mikroprosesor
 
Mp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesorMp 2 jenis-mikroprosesor
Mp 2 jenis-mikroprosesor
 
Mp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesorMp 1 pengertian-mikroprosesor
Mp 1 pengertian-mikroprosesor
 
Membuat program android ( konversi jarak)
Membuat program android ( konversi jarak)Membuat program android ( konversi jarak)
Membuat program android ( konversi jarak)
 

Recently uploaded

Materi Strategi Perubahan dibuat oleh kelompok 5
Materi Strategi Perubahan dibuat oleh kelompok 5Materi Strategi Perubahan dibuat oleh kelompok 5
Materi Strategi Perubahan dibuat oleh kelompok 5KIKI TRISNA MUKTI
 
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptx
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptxDESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptx
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptxFuzaAnggriana
 
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docx
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docxModul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docx
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docxherisriwahyuni
 
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptx
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptxKesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptx
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptxDwiYuniarti14
 
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptx
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptxJurnal Dwi mingguan modul 1.2-gurupenggerak.pptx
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptxBambang440423
 
Materi Pertemuan 6 Materi Pertemuan 6.pptx
Materi Pertemuan 6 Materi Pertemuan 6.pptxMateri Pertemuan 6 Materi Pertemuan 6.pptx
Materi Pertemuan 6 Materi Pertemuan 6.pptxRezaWahyuni6
 
Wawasan Nusantara sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...
Wawasan Nusantara  sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...Wawasan Nusantara  sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...
Wawasan Nusantara sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...MarwanAnugrah
 
Karakteristik Negara Brazil, Geografi Regional Dunia
Karakteristik Negara Brazil, Geografi Regional DuniaKarakteristik Negara Brazil, Geografi Regional Dunia
Karakteristik Negara Brazil, Geografi Regional DuniaNadia Putri Ayu
 
Demonstrasi Kontekstual Modul 1.2. pdf
Demonstrasi Kontekstual  Modul 1.2.  pdfDemonstrasi Kontekstual  Modul 1.2.  pdf
Demonstrasi Kontekstual Modul 1.2. pdfvebronialite32
 
Materi Pertemuan Materi Pertemuan 7.pptx
Materi Pertemuan Materi Pertemuan 7.pptxMateri Pertemuan Materi Pertemuan 7.pptx
Materi Pertemuan Materi Pertemuan 7.pptxRezaWahyuni6
 
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptx
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptxMODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptx
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptxarnisariningsih98
 
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]Abdiera
 
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docx
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docxtugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docx
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docxmawan5982
 
Ppt tentang perkembangan Moral Pada Anak
Ppt tentang perkembangan Moral Pada AnakPpt tentang perkembangan Moral Pada Anak
Ppt tentang perkembangan Moral Pada Anakbekamalayniasinta
 
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdf
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdfTUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdf
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdfElaAditya
 
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdf
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdfAKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdf
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdfTaqdirAlfiandi1
 
Kelompok 2 Karakteristik Negara Nigeria.pdf
Kelompok 2 Karakteristik Negara Nigeria.pdfKelompok 2 Karakteristik Negara Nigeria.pdf
Kelompok 2 Karakteristik Negara Nigeria.pdftsaniasalftn18
 
Aksi Nyata Modul 1.1 Calon Guru Penggerak
Aksi Nyata Modul 1.1 Calon Guru PenggerakAksi Nyata Modul 1.1 Calon Guru Penggerak
Aksi Nyata Modul 1.1 Calon Guru Penggeraksupriadi611
 
Kelompok 1_Karakteristik negara jepang.pdf
Kelompok 1_Karakteristik negara jepang.pdfKelompok 1_Karakteristik negara jepang.pdf
Kelompok 1_Karakteristik negara jepang.pdfCloverash1
 
implementasu Permendikbudristek no 53 2023
implementasu Permendikbudristek no 53 2023implementasu Permendikbudristek no 53 2023
implementasu Permendikbudristek no 53 2023DodiSetiawan46
 

Recently uploaded (20)

Materi Strategi Perubahan dibuat oleh kelompok 5
Materi Strategi Perubahan dibuat oleh kelompok 5Materi Strategi Perubahan dibuat oleh kelompok 5
Materi Strategi Perubahan dibuat oleh kelompok 5
 
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptx
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptxDESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptx
DESAIN MEDIA PEMBELAJARAN BAHASA INDONESIA BERBASIS DIGITAL.pptx
 
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docx
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docxModul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docx
Modul Ajar Bahasa Indonesia - Menulis Puisi Spontanitas - Fase D.docx
 
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptx
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptxKesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptx
Kesebangunan Segitiga matematika kelas 7 kurikulum merdeka.pptx
 
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptx
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptxJurnal Dwi mingguan modul 1.2-gurupenggerak.pptx
Jurnal Dwi mingguan modul 1.2-gurupenggerak.pptx
 
Materi Pertemuan 6 Materi Pertemuan 6.pptx
Materi Pertemuan 6 Materi Pertemuan 6.pptxMateri Pertemuan 6 Materi Pertemuan 6.pptx
Materi Pertemuan 6 Materi Pertemuan 6.pptx
 
Wawasan Nusantara sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...
Wawasan Nusantara  sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...Wawasan Nusantara  sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...
Wawasan Nusantara sebagai satu kesatuan, politik, ekonomi, sosial, budaya, d...
 
Karakteristik Negara Brazil, Geografi Regional Dunia
Karakteristik Negara Brazil, Geografi Regional DuniaKarakteristik Negara Brazil, Geografi Regional Dunia
Karakteristik Negara Brazil, Geografi Regional Dunia
 
Demonstrasi Kontekstual Modul 1.2. pdf
Demonstrasi Kontekstual  Modul 1.2.  pdfDemonstrasi Kontekstual  Modul 1.2.  pdf
Demonstrasi Kontekstual Modul 1.2. pdf
 
Materi Pertemuan Materi Pertemuan 7.pptx
Materi Pertemuan Materi Pertemuan 7.pptxMateri Pertemuan Materi Pertemuan 7.pptx
Materi Pertemuan Materi Pertemuan 7.pptx
 
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptx
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptxMODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptx
MODUL 2 BAHASA INDONESIA-KELOMPOK 1.pptx
 
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
Modul Ajar Biologi Kelas 11 Fase F Kurikulum Merdeka [abdiera.com]
 
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docx
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docxtugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docx
tugas 1 anak berkebutihan khusus pelajaran semester 6 jawaban tuton 1.docx
 
Ppt tentang perkembangan Moral Pada Anak
Ppt tentang perkembangan Moral Pada AnakPpt tentang perkembangan Moral Pada Anak
Ppt tentang perkembangan Moral Pada Anak
 
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdf
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdfTUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdf
TUGAS GURU PENGGERAK Aksi Nyata Modul 1.1.pdf
 
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdf
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdfAKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdf
AKSI NYATA Strategi Penerapan Kurikulum Merdeka di Kelas (1).pdf
 
Kelompok 2 Karakteristik Negara Nigeria.pdf
Kelompok 2 Karakteristik Negara Nigeria.pdfKelompok 2 Karakteristik Negara Nigeria.pdf
Kelompok 2 Karakteristik Negara Nigeria.pdf
 
Aksi Nyata Modul 1.1 Calon Guru Penggerak
Aksi Nyata Modul 1.1 Calon Guru PenggerakAksi Nyata Modul 1.1 Calon Guru Penggerak
Aksi Nyata Modul 1.1 Calon Guru Penggerak
 
Kelompok 1_Karakteristik negara jepang.pdf
Kelompok 1_Karakteristik negara jepang.pdfKelompok 1_Karakteristik negara jepang.pdf
Kelompok 1_Karakteristik negara jepang.pdf
 
implementasu Permendikbudristek no 53 2023
implementasu Permendikbudristek no 53 2023implementasu Permendikbudristek no 53 2023
implementasu Permendikbudristek no 53 2023
 

Memori Mikroprosesor

  • 1. 1 Mikroprosesor Bab 5: Memori ROM RAM Memori
  • 2. Memori • Flip-flop: memori 1-bit • Register: memori n-bit, satu lokasi • Memori: penyimpan data n-bit, m-lokasi Flip-flop Register 4-bit 0 1 0 1 2 1 1 1 0 0 0 0 1 Memori m x n 1 0 MSB LSB MSB LSB m n Memori 2
  • 4. ROM (Read Only Memory) ROM Merupakan chip (IC=integrated circuit) yang menyediakan fungsi penyimpanan data yang bersifat “hanya dapat dibaca saja, tidak dapat ditulisi”, dan sifat penyimpanannya permanen (jika catudayanya ditiadakan, isi ROM tetap ada). Tipe memori ini sering disebut sebagai memori yang tidak mudah berubah (nonvolatile memory). Memori 4
  • 5. PROM (Programmable ROM) PROM merupakan ROM yang isinya diprogram oleh pabriknya. Jenisnya: ROM Matriks Diode/Transistor BJT/FET Memori 5
  • 6. Sel PROM Pemilih Output Data +Vcc Pemilih Output Data +Vcc Diputus Menyimpan data “1” Menyimpan data “0” Memori 6 Transistor
  • 7. PROM LOKASI/ ALAMAT DATA DALAM BINER DATA DALAM HEKSADESIMAL D3 D2 D1 D0 0 1 1 0 0 C 1 1 0 1 0 A 2 0 1 0 0 4 3 0 1 0 1 5 7 Misal pabrik akan membuat ROM dengan ukuran 4X4-bit, dengan data yang tersimpan di dalamnya seperti tabel ini: Memori
  • 8. PROM DEKODER 0 1 2 3 +Vcc +Vcc +Vcc +Vcc X3 0 1 A0 A1 +Vcc +Vcc X2 X1 OE TRI-STATE SWITCH CE +Vcc X0 Memori 8 D3 D2 D1 D0 Organisasi ROM
  • 9. Simbol ROM 4x4-bit Pin Alamat Pin Kontrol Pin Data ROM 4x4-bit D0 D1 D2 D3 A0 A1 1 CS CS 2 Pin A0,A1 digunakan untuk memilih alamat Pin Kontrol digunakan untukM emmoerinyediakan saluran output. 9
  • 10. Simbol ROM 4x4-bit Pin Alamat Pin Kontrol Pin Data ROM 4x4 bit D0 D1 D2 D3 A0 A1 OE CE OE=Output enable jenis ACTIVE-LOW CE=Chip enable jenis ACTIMVemEo-riLOW 10
  • 11. Simbol ROM ROM 4X8-bit D0 D1 D2 D3 D4 D5 D6 D7 = ... ... OE=Output enable jenis ACTIVE-LOW CE=Chip enable jenis ACTIVE-LOW A0 A1 OE CE D4 D5 D6 D7 ROM 4 byte D0 D1 D2 D3 A0 A1 OE CE ROM 4 byte ROM 128 byte D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A3 A4 A5 A6 ROM 256 byte D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A3 A4 A5 A6 A7 ROM 1KB D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A9 ... ... ROM 4KB D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A11 ROM 16KB D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A13 ... ... ROM 64KB D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 A15 ... ... Kapasitas naik 2 kali, Memori pin alamat bertam1b1 ah 1
  • 12. EPROM (Erasable PROM) EPROM Adalah ROM yang dapat dihapus dan diprogram isinya oleh pengguna. UV-EPROM adalah ROM yang isinya dapat dihapus dengan sinar Ultra Violet. Untuk memrogram ROM ini digunakan EPROM Programmer Memori 12
  • 13. Contoh IC EPROM Memori 13
  • 15. Kapasitas EPROM NO. SERI ARTI KAPASITAS JML. PIN ALAMAT 2716 16=2K X 8-bit=2Kbyte 2Kbyte 11 2732 32=4K X 8-bit=4Kbyte 4Kbyte 12 2764 64=8K X 8-bit=8Kbyte 8Kbyte 13 27128 128=16K X 8-bit=16Kbyte 16Kbyte 14 27256 256=32K X 8-bit=32Kbyte 32Kbyte 15 27512 512=64K X 8-bit=64Kbyte 64Kbyte 16 Memori 15
  • 17. EPROM Cara Menghapus EPROM: Lepaskan EPROM dari sistem Buka penutup jendela transparan Sinari jendela transparan dengan sinar ultra violet beberapa menit (kurang lebih 15 menit) Cara Memrogram EPROM: Hapus terlebih dahulu seluruh isinya dengan sinar ultra violet Pasang EPROM pada EPROM Programmer Isilah EPROM dengan data menggunakan EPROM ProgrammerMemori 17
  • 18. EPROM • Penghapusan UV-EPROM dilakukan dengan menggunakan sinar ultra violet. EPROM Sinar Ultra Violet beberapa menit, maka data akan terhapus Jendela Transparans Pin atau terminal IC EPROM ERASER Memori 18
  • 19. EEPROM • EEPROM (Electrically EPROM)  flash ROM yang isinya dapat dihapus dan diprogram secara elektris. Contoh: CMOS Setup pada PC. Jika ingin mengubah konfigurasi PC, maka pada saat booting tekan tombol Del sehingga muncul informasi konfigurasi yang akan diubah. Pengubahan konfigurasi, pada dasarnya adalah memrogram CMOS/EEPROM secara elektris. Memori 19
  • 20. EEPROM Cara memrogram EEPROM:  EEPROM tetap terpasang pada sistem  Lakukan penghapusan dan pengisian data Kelebihan EEPROM dibandingkan dengan EPROM:  Isinya dapat diprogram bagian per bagian, sedangkan pada EPROM untuk memrogram harus menghapus seluruh isinya terlebih dahulu sehingga tidak memungkinkan permograman bagian per bagian.  Pengahupusan EEPROM lebih cepat dibandingkan EPROM, karena dilakukan secara elektris. Waktu penghapusan pada EEPROM dalam orde mili detik (ms) sedangkan pada EPROM pada orde menit.  Pemrograman EEPROM dapat dilakukan tanpa melepaskannya dari sistem, sedangkan untuk EPROM harus dilepaskan dari sistem. Memori 20
  • 21. Cara MP Membaca ROM Misal di dalam ROM 8 byte berisi data sebagai berikut: Alamat Isi (Dalam Heksadesimal) 0 02 1 A1 2 B2 3 5C 4 00 5 45 6 FF 7 Memori E6 21
  • 22. Sistem Interkoneksi Bus Pada Mikrokomputer MP ROM RAM BUS ALAMAT BUS DATA BUS KONTROL I/O Interface Bus: Kumpulan konduktor, yang membawa sinyal-sinyal: alamat, data, dan kontrol. Memori 22
  • 23. Cara MP Membaca ROM Mikroprosesor membaca alamat 5 dari ROM 8 byte: ROM 8 byte D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 1 01 0 0 Tahap I Tahap II 1 0 0 0 1 0 1 0 Tahap III 1. Mikroprosesor mengirim sinyal alamat 5 yakni A2A1A0=101 lewat bus alamat ke pin alamat ROM (tahap I) 2. Mikroprosesor mengirim sinyal CE = 0, dan OE = 0 kontrol untuk mengaktifkan ROM (Tahap II) 3. Data akan ditempatkan pada bus data sehingga pada bus data terdapat data 45 (tahap 3) Memori 23
  • 24. RAM (Random Access Memory) RAM Merupakan chip yang menyediakan fungsi penyimpanan data yang bersifat “dapat dibaca dan ditulisi”, dan sifat penyimpanannya sementara (jika catudayanya ditiadakan, isi RAM hilang) Memori 24
  • 25. Static RAM (SRAM) Merupakan RAM yang sel-selnya menggunakan flip-flop sehingga: (1) datanya relatif stabil/statis sehingga tidak diperlukan adanya rangkaian “refresh”, (2) lebih cepat, (3) kepadatan komponen rendah/kapasitas kecil, (4) mahal Memori 25
  • 26. Sel SRAM SELECT S Q R INPUT OUTPUT RWS READ/WRITE SELECT SELECT MC INPUT RWS OUTPUT MC: memory cell SELECT (CS) RWS MODE 1 0 Write 1 1 Read 0 X INACTIVE Memori 26
  • 27. MC MC MC MC MC MC MC MC MC MC MC MC MC MC MC MC DEKODER 0 1 2 3 A 1 A 0 1 0 IO 3 IO 2 IO 1 IO 0 RWS CS Organisasi SRAM: Bentuk 1 27
  • 28. Simbol RAM 4x4-bit: Bentuk 1 Pin Alamat Pin Kontrol Pin Data RAM 4x4-bit I/O 0 I/O 1 A0 A1 RWS CS I/O 2 I/O 3 RWS=Read/Write Select CS=Chip Select, ACTIVE-HIGH 28
  • 29. MC MC MC MC MC MC MC MC MC MC MC MC MC MC MC MC DEKODER 0 1 2 3 A 1 A 0 1 0 IO 3 IO 2 IO 1 IO 0 WE OE CE Organisasi SRAM: Bentuk 2 29
  • 30. Simbol RAM 4x4-bit: Bentuk 2 Pin Alamat Pin Kontrol Pin Data RAM 4x4-bit A0 A1 WE OE CE I/O 0 I/O 1 I/O 2 I/O 3 WE=Write Enable OE=Output enable jenis ACTIVE-LOW CE=Chip enable jenis ACTIVE-LOW 30
  • 31. Cara MP Membaca dan Menulisi RAM Misal di dalam RAM 8 byte berisi data sebagai berikut: Alamat Isi (Dalam Heksadesimal) 0 13 1 FF 2 C4 3 6D 4 FF 5 57 6 FF 7 Memori FF 31
  • 32. Cara MP Membaca RAM Mikroprosesor membaca alamat 3 dari RAM 8 byte: 1. Mikroprosesor mengirim sinyal alamat 3 yakni A2A1A0=011 lewat bus alamat ke pin alamat RAM (tahap I) 2. Mikroprosesor mengirim sinyal CE = 0, OE = 0, dan WE =1 kontrol untuk mengaktifkan mode baca RAM (Tahap II) 3. Data akan ditempatkan pada bus data sehingga pada bus data terdapat data 6D (tahap 3) RAM 8 byte D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 1 10 0 0 Tahap I Tahap II 1 1 0 1 1 0 1 0 Tahap III WE 1 Memori 32
  • 33. Cara MP Menulisi RAM Mikroprosesor menulisi alamat 4 dari RAM 8 byte dengan data A2: 1. Mikroprosesor mengirim sinyal alamat 4 yakni A2A1A0=100 lewat bus alamat ke pin alamat RAM (tahap I) 2. Mikroprosesor menempatkan data A2 pada bus data (tahap II) 3. ikroprosesor mengirim sinyal kontrol untuk mengaktifkan mode tulis RAM (Tahap III) CE = 0, OE =1, dan WE = 0 RAM 8 byte D0 D1 D2 D3 A0 A1 OE CE D4 D5 D6 D7 A2 0 01 1 0 Tahap I Tahap III 0 1 0 0 0 1 0 1 Tahap II WE 0 Memori 33
  • 34. Contoh IC Static RAM Seri 6116 PIN NAMES A0-A10 Address Inputs I/O0-I/O7 Data Inputs/Outputs /CE Active LOW Chip Enable /OE Active LOW Output Enable /WE Active LOW Write Enable 34 SRAM 2Kbyte
  • 35. Dynamic RAM (DRAM) Merupakan RAM yang sel-selnya menggunakan kapasitor sehingga: (1) datanya tidak stabil/dinamis sehingga diperlukan rangkaian “refresh”, (2) lebih lambat, (3) kepadatan komponen tinggi/kapasitas besar, (4) lebih murah Memori 35
  • 37. Sel DRAM C E L L A R R A Y 4 x 4 Memori 37
  • 38. Organisasi DRAM Column address decoder Row address Row address latch R/W CELL ARRAY 4 x 4 Din Memori 38 decoder Column address latch A 2 A 3 A 0 A 1 CAS RAS A 0 /A 2 A 1 /A 3 CS Dout Input buffer Output buffer
  • 39. Operasi Read DRAM • Alamat baris (A1A0) ditempatkan pada pin alamat lewat bus alamat • Pin RAS diaktifkan agar sinyal-sinyal alamat baris menempati input dekoder alamat baris. • Dekoder alamat baris memilih baris data yang diinginkan yang akan dikirim ke buffer out • Pin CS dan R/W diaktifkan pada mode read • Alaman kolom (A3A2) ditempatkan pada pin alamat lewat bus alamat • Pin CAS diaktifkan untuk menempatkan sinyal alamat pada input dekoder kolom. Pin ini selalu memberikan Output Enable, setiap sinyal CAS diberikan • Data akan ditempatkan pada .Dout • RAS dan CAS dinonaktifkan untuk melaksanakan operasi berikutnya Memori 39
  • 40. Operasi Read DRAM Memori 40
  • 41. Operasi Write DRAM • Data yang akan disimpan ditempatkan pada Din • Alamat baris (A1A0) ditempatkan pada pin alamat lewat bus alamat • Pin RAS diaktifkan agar sinyal-sinyal alamat baris menempati input dekoder alamat baris. • Dekoder alamat baris memilih lokasi baris yang akan ditempati data dari buffer in • Pin CS dan R/W diaktifkan pada mode write • Alaman kolom (A3A2) ditempatkan pada pin alamat lewat bus alamat • Pin CAS diaktifkan untuk menempatkan sinyal alamat pada input dekoder kolom. • Data akan ditempatkan pada alamat pada array sesuai yang diinginkan • RAS dan CAS dinonaktifkan untuk melaksanakan operasi berikutnya Memori 41
  • 42. Memori 42 DRAM 1M X 1
  • 43. DRAM 64K X 1-bit Memori 43
  • 44. DRAM 64K X 8-bit Memori 44
  • 45. KONEKSI DRAM DATA n-bit Memori 45