SlideShare a Scribd company logo
1 of 14
Download to read offline
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
57
IMPLEMENTATION OF UNSIGNED
MULTIPLIER USING MODIFIED CSLA
Sooraj.N.P.
PG Scholar, Electronics & Communication Dept.
Hindusthan Institute of Technology, Coimbatore,Anna University
ABSTRACT
Multiplications and additions are most widely and more often used arithmetic computations performed in
all digital signal processing applications. Addition is the basic operation for many digital application. The
aim is to develop area efficient, high speed and low power devices. Accurate operation of a digital system
is mainly influenced by the performance of the adders. Multipliers are also very important component in
digital systems
This project deals with the implementation of the VLSI design of the unsigned integer multiplier using
modified carry select adder (MCSLA) technique .As all we know that multiplier multiplies two n-bit
unsigned integer values and gives a product term of 2n-bit numbers. The ordinary carry look ahead adder
(CLAA) based multiplier needs the delay time of 100ns for the multiplication. In CSLA the area is reduced
to 31 % than in the CLAA based multiplier. The CSLA based multiplier uses the delay time of 100ns for
performing multiplication operation where as in modified CSLA based multiplier also uses nearly the same
delay time for multiplication operation. But the area needed for CSLA multiplier is reduced by the modified
CSLA based multiplier to complete the multiplication operation.
KEYWORDS
Unsigned Multiplier, Carry Select Adder, Square Root Carry Select Adder, Modified Carry Select Adder,
Ripple Carry Adder, Add and shift multiplier.
1. INTRODUCTION
Digital computer arithmetic is one of the main features of logic design with the aim of developing
appropriate algorithms in order to optimise the utilization of the available hardware. The basic
operations are multiplication, addition, division and subtraction. In this project, I am going to use
the operation of additions in the operation of multiplication. The addition operations repeated and
shifting results in the multiplication operations.
Hardware can only perform a simple and limited set of operations. Arithmetic operations are
based on a hierarchy of tasks (operations) that are built upon the simple tasks. In VLSI designs;
area, speed and power are the mostly used measures for determining the efficiency and
performance of the given architecture. Additions and Multiplications are most widely and more
commonly used arithmetic operation performed in many digital signal processing applications.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
58
All complex and simple digital multiplication is based on addition. An area efficient, fast and
accurate operation of a digital system is greatly depends on the performance of the basic adders.
Adders are very important component in digital logic design because of their wide use in these
systems. Hence, to design a better architecture the basic adder blocks must have reduced delay
time consumption and area efficient architectures. The demand is of DSP style systems for both
less delay time and less area requirement for designing the systems.
In the case of digital adders, the speed of addition is limited by the time required by the carry to
propagate through the adder which is known as propagation delay time. The sum for each bit in
an adder is generated sequentially only after the previous bits have been summed and a carry is
obtained to the next position. The carry select adder is used in many digital computational
systems to reduce the problem of propagation delay. It can be done by independently generating
multiple carries and then select a carry to generate the sum. However, the CSLA is not efficient in
the case of area because it uses multiple pairs of Ripple Carry Adders (RCA) to generate partial
sum and carry by considering carry input Cin=0 and Cin=1 separately, then the final sum and
carry are selected by the multiplexer (mux).
In the case of MCSLA the basic idea is to use Binary to Excess-1 Converter (BEC) instead of
RCA with Cin = 1 in the regular CSLA to achieve lower area and power consumption The main
advantage of this BEC logic comes from the lesser number of logic gates than the n-bit Full
Adder (FA) structure. After obtaining the MCSLA; The adder in add and shift multiplier can be
replaced.
In VLSI design technique there are different types of multiplier structure are available. One of the
basic multiplier is add and shift multiplier. This project deals with reduction of area, power
requirement of add and shift multiplier without compromising to the speed of computation.
2. PROPOSED SYSTEM
The The main logic of CSLA is to compute alternative results in parallel and subsequently
selecting the correct result by using mux according to the control bit.
In CSLA both sum and carry bits are calculated for two alternatives Cin=O and 1. Once Cin is
obtained, the correct computation is taken using a mux to produce the actual output. Instead of
waiting for Cin to calculate the sum, the sum is correctly output as soon as Cin gets there. The
extra time taken to compute the sum (because of propagation delay) is then avoided which results
in good improvement in speed. The architecture of multiplier had shown in Figure 1.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
59
Figure 1: Multiplier Block Diagram
2.1. Multiplier For Unsigned Data
As considering CSLA there is considerable area loss which can be avoided by using MCSLA.
The figure describes the working of add and shift multiplier using the MCSLA adder.
Multiplication involves the production of partial products, for each digit in the multiplier, as
in Figure 1.These partial products are then summed to produce the final product. Here comes
the role of MCSLA. The multiplication of two n-bit binary integers results in a product of up
to 2n bits in length. Figure 2 shows the controller block diagram. This controller is used as
the brain of design process.
Figure 2: Multiplier Design Block Diagram
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
60
The Controller is the control unit of the multiplier. It works according to the START signal. It
receives a START signal from the external field and consequently commands all other modules
until the result is obtained and it outputs a STOP signal. The implemented design is shown as a
finite state machine with states and transition logic as shown in Figure 3. The START signal
transitions the state machine out of the idle state and it goes to the initialize state. In this state it
commands the multiplicand and multiplier to be loaded into corresponding registers. Once the
data is loaded, the state machine goes to test state. From there according to the LSB value it goes
through a series of test and shift, or test, add and shift operations. Upon reaching the maximum
count for the multiplication cycle, which is defined by the user as the number of bits in multiplier
the state machine goes back to the idle state and outputs a Stop signal with the result.
Figure 3: Controller FSM Diagram
2.2. Advantages
• Cost effective compared to other proposed architectures
• High speed, Low power, Lower area
• Modified CSLA Can be used to implement Wallace tree Multiplier and Baug-
WooleyMultiplier.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
61
2.3. Applications
• Data paths in Microprocessors.
• Digital Adders are the core block of DSP processors.
• Extensively used in processing units such as ALU.
• Forming dedicated integer and/or floating-point units.
• In Multiply-accumulate (MAC) structures.
• Digital Signal processing.
• High speed Integrated circuit
3. ADDER DESIGN
3.1. Regular 16 bit SQRT CSLA
The architecture of the 16-b SQRT CSLA is shown in Figure 4. It has five groups of different size
ripple carry adder. Detailed diagram of each group are shown in Figure 5
Figure 4: Regular 16-b SQRT CSLA.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
62
Figure 5: Detailed Diagram: (a) group2, (b)group 3,
(c) group 4, and (d) group 5. F is a Full Adder.
In each RCA block there is separate full adders each will generate sum and carry outputs .there is
two separate group the first group will work when Cin = 0.the second group will work when Cin
= 1
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
62
Figure 5: Detailed Diagram: (a) group2, (b)group 3,
(c) group 4, and (d) group 5. F is a Full Adder.
In each RCA block there is separate full adders each will generate sum and carry outputs .there is
two separate group the first group will work when Cin = 0.the second group will work when Cin
= 1
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
62
Figure 5: Detailed Diagram: (a) group2, (b)group 3,
(c) group 4, and (d) group 5. F is a Full Adder.
In each RCA block there is separate full adders each will generate sum and carry outputs .there is
two separate group the first group will work when Cin = 0.the second group will work when Cin
= 1
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
63
3.2.Modified 16 bit SQRT CSLA
The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA
with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into
five groups which is shown Figure 7.
Figure 6: Modified 16-b SQRT CSLA.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
63
3.2.Modified 16 bit SQRT CSLA
The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA
with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into
five groups which is shown Figure 7.
Figure 6: Modified 16-b SQRT CSLA.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
63
3.2.Modified 16 bit SQRT CSLA
The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA
with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into
five groups which is shown Figure 7.
Figure 6: Modified 16-b SQRT CSLA.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
64
Figure 7: Detailed connection: (a) group 2, (b) group 3,
(c) group 4, and (d) group 5.
The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer.
Each part is explained below
3.3.Block Diagram Details
3.1.1. BEC
As stated above in order to reduce the area and power consumption of the regular CSLA this
project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n -
bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1,
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
64
Figure 7: Detailed connection: (a) group 2, (b) group 3,
(c) group 4, and (d) group 5.
The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer.
Each part is explained below
3.3.Block Diagram Details
3.1.1. BEC
As stated above in order to reduce the area and power consumption of the regular CSLA this
project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n -
bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1,
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
64
Figure 7: Detailed connection: (a) group 2, (b) group 3,
(c) group 4, and (d) group 5.
The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer.
Each part is explained below
3.3.Block Diagram Details
3.1.1. BEC
As stated above in order to reduce the area and power consumption of the regular CSLA this
project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n -
bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1,
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
65
respectively.
Figure 9 illustrates the functionality of MCSLA. It gives the basic function of the CSLA is
obtained by using the 4-bit BEC together with the mux. One of the inputs of the 8:4 mux is direct
input (B3, B2, B1, and B0) and other input of the mux is the output of BEC. This will results in
two possible partial results in parallel. According to the control signal Cin the mux is used to
select either the BEC output or the direct inputs. The importance of the BEC logic is that this
logic results in the large silicon area reduction when the CSLA with large number of bits are
designed. The Boolean expressions of the 4-bit BEC is given as
X0 = NOT (B0)
X1 = B1 XOR B0
X2 = B2 XOR (B1 AND B0)
X3 = B3 XOR (B2 AND B1 AND B0)
Figure 8: 4-b BEC.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
66
Figure 9: 4-b BEC with 8:4 MUX.
Table 1: Conversion table
B[3:0] X[3:0]
0000 0001
0001 0010
0010 0011
| |
1110 1111
1111 0000
3.3.1. RCA
It is the well-known adder architecture. As shown in Figure 10 ripple carry adder is composed of
cascaded full adders for 4-bit adder. RCA can be constructed by cascading full adder blocks in
series. The carry out from one stage of full adder is fed to the carry-in of the next stage adder. ‘n’
full adders are required for an n-bit parallel adder. The dark line shows the carry flow from first
full adder to the last.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
67
Figure 10: Ripple carry adder
When larger bit length numbers are used; RCA is not very efficient. Delay increases linearly with
bit length. the carry-propagation chain will determine the latency of the whole circuit for a
Ripple-Carry adder hence delay from Carry-in to Carry-out is more important than the delay from
input to carry-out or carry-in to SUM. Figure 10. Shows ripple carry adder with carry flow.
3.3.2. Basic Adder Blocks
An XOR gate is implemented by using AND, OR, and Inverter (AOI) as shown in Figure 11. The
gates between the dotted lines are performing the operations in parallel. That means both will
execute same time The delay and area evaluation methodology considers all gates to be made up
of AND, OR, and Inverter, each having delay equal to 1 unit and area equal to 1 unit. By adding
up the number of gates in the longest path of a logic block we will gets the maximum delay. For
each logic block the area evaluation is calculated by counting the total number of AND, OR, and
NOT gates required.
Figure 11: Adder.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
68
4. SIMULATION RESULTS
Codes for Multiplier and MCSLA are successfully verified by the simulation. Error conditions are
intentionally made in the coding to check the complete functionality. Obtained utilization
summery and simulated output is shown below Figure 12. This adder can be used for the
construction of add and shift multiplier which have lowest area, high speed and minimum power
consumption.
4.1. Device Utilization Summary:
Number of Slices : 6 out of 960 0%
Number of 4 input LUTs : 11 out of 1920 0%
Number of IOs : 50
Number of bonded IOBs : 50 out of 66 75%
4.2. Simulated Output
Figure 12: Simulated Output of MCSLA
The timing diagram displayed in Figure 13 shows one complete multiplication cycle of multiplier.
This indicates from the Start signal to the Stop signal. The starting of computation is indicated by
a start signal .Once the Stop signal is asserted at the end of the multiplication cycle; the result is
obtained. From the figure, the .Multiplier byte is ‘A’ and the Multiplicand byte is ‘96’so the
expected result is 5DC.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
69
Figure 13: Simulated Output of Multiplier
5. CONCLUSIONS
Successfully achieved faster adder structure using the Modified Carry Select Adder structure.
With increasing word size, reduction of the delay increases; but the overhead of the area and
power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift
multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh-
Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed
multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and
significant speed can be achieved without much area or power constraints; that is, the 128-bit
multiplier would be not only fast but also area, power, and energy efficient. The speed
improvements are significant. Proposed techniques also improve the performance of multipliers.
These design techniques can be implemented with all type of parallel multipliers of bit size higher
than 16-b to achieve optimum performance without significant area and power constraints.
ACKNOWLEDGMENT
I would like to thank the Department of Electronics and Communication Engineering, HIT,
Coimbatore for providing laboratory facilities and opportunity for experimental setup.
REFERENCES
[1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5.
[2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit
Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
69
Figure 13: Simulated Output of Multiplier
5. CONCLUSIONS
Successfully achieved faster adder structure using the Modified Carry Select Adder structure.
With increasing word size, reduction of the delay increases; but the overhead of the area and
power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift
multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh-
Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed
multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and
significant speed can be achieved without much area or power constraints; that is, the 128-bit
multiplier would be not only fast but also area, power, and energy efficient. The speed
improvements are significant. Proposed techniques also improve the performance of multipliers.
These design techniques can be implemented with all type of parallel multipliers of bit size higher
than 16-b to achieve optimum performance without significant area and power constraints.
ACKNOWLEDGMENT
I would like to thank the Department of Electronics and Communication Engineering, HIT,
Coimbatore for providing laboratory facilities and opportunity for experimental setup.
REFERENCES
[1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5.
[2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit
Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
69
Figure 13: Simulated Output of Multiplier
5. CONCLUSIONS
Successfully achieved faster adder structure using the Modified Carry Select Adder structure.
With increasing word size, reduction of the delay increases; but the overhead of the area and
power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift
multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh-
Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed
multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and
significant speed can be achieved without much area or power constraints; that is, the 128-bit
multiplier would be not only fast but also area, power, and energy efficient. The speed
improvements are significant. Proposed techniques also improve the performance of multipliers.
These design techniques can be implemented with all type of parallel multipliers of bit size higher
than 16-b to achieve optimum performance without significant area and power constraints.
ACKNOWLEDGMENT
I would like to thank the Department of Electronics and Communication Engineering, HIT,
Coimbatore for providing laboratory facilities and opportunity for experimental setup.
REFERENCES
[1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5.
[2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit
Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE.
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal
(EEIEJ), Vol. 1, No. 1, February 2014
70
[3] He, Y. Chang, C. H. and Gu, J.( 2005) “An Area Efficient 64-Bit Square Root Carry-Select Adder for
Low Power Applications,” in Proc. IEEE Int. Symp. Circuits Syst., Vol.4, pp. 4082–4085.
[4] Padma Devi, AshimaGirdher and Balwinder Singh (1998)“Improved Carry Select Adder with
Reduced Area and Low Power Consumption,”International Journal of Computer Applications, Vol.3,
No.4, pp. 14-18.
[5] AkhileshTyagi, (1993)“A Reduced-Area Scheme for Carry-Select Adders,” IEEE Transactions on
Computers, Vol.42, No.10, pp.1163-1170.
[6] Edison A.J and C.S.Manikandababu (2012.)“An Efficient CSLA Architecture for VLSI Hardware
Implementation” International Journal for Mechanical and Industrial Engineering, Vol. 2. Issue 5.
[7] P.Sreenivasulu,.K.SrinivasaRao, Malla Reddy, and A.VinayBabu(2012) “Energy sand Area efficient
Carry Select Adder on a reconFigureurable Hardware” International Journal of Engineering Research
and Applications, Vol. 2, Issue 2, pp.436-440.
[8] Sarabdeep Singh and Dilip Kumar, (2011) “Design of Area and Power efficient Modified Carry
Select Adder” International Journal of Computer Applications (0975 – 8887) Volume 33– No.3.
[9] S. Brown and Z. Vranesic, (2005) Fundamentals of Digital Logic with VHDL Design, 2nd ed.,
McGraw-Hill Higher Education, USA,. ISBN:0072499389.
[10] P. C. H. Meier, R. A. Rutenbar and L. R. Carley(1996), "Exploring Multiplier Architecture and
Layout for low Power", CIC'96.
[11] HasanKrad and AwsYousi(2010)"Design and Implementation of a Fast Unsigned 32-bit Multiplier
Using VHDL".
[12] SreehariVeeramachaneni, Kirthi M, Krishna LingamneniAvinashSreekanth Reddy Puppala M.B.
Srinivas(2007), ‘Novel Architectures for High-Speed and Low-Power 3-2, 4:2and 5:2Compressors’,
20th International Conference o VLSI Design, Pp: 324-329.
[13] S. F. Hsiao, M. R. Jiang, and J. S. Yeh, (1998.)‘Design of high speed low-power 3:2counter and
4:2compresso for fast multipliers’, Electron. Lett, vol. 34, no. 4, Pp. 341–343.
[14] K. Prasad and K. K. Parhi, (2001)‘Low-power 4:2and 5:2compressors,’ in Proc. of the 35th Asilomar
Conf. on Signals, Systems and Computers, vol. 1, , Pp.129–133.
[15] Massimo Alioto and Gaetano (2002), ‘Analysis and Comparison on Full Adder Block in Submicron
Technology’, IEEE Transaction Very Large Scale Integration (VLSI) Systems, Vol 10, No. 6, Pp: 806
–823.
[16] Anantha P. Chandrakasan, Samuel Sheng and Rober W. Brodersen (1992), ‘Low-Power CMOS
Digital Design‘, IEEE Journal of Solid State Circuits, Vol.27, No. 4.
[17] P. S. Mohanty,( 2009.)"Design and Implementation of Faster and Low Power Multipliers", Bachelor
Thesis. National Institute of Technology, Rourkela.
Author
Mr. Sooraj.N.P. Pursuing M.E. in VLSI Design and Embedded Systems, from
Hindusthan Institute of Technology, Coimbatore under Anna University, Chennai. He
Received B.Tech degree from Kannur University in Electronics and Communication
Engineering in 2010.He is currently an intern in Nexegen Technologies. He got many
prizes for the event line follower. His interests include automation, low power design and
robotics.

More Related Content

What's hot

Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...IRJET Journal
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplierijsrd.com
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array MultipliersIRJET Journal
 
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)Karthik Sagar
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...VLSICS Design
 
Design and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MACDesign and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MACIJERA Editor
 
Review on Multiply-Accumulate Unit
Review on Multiply-Accumulate UnitReview on Multiply-Accumulate Unit
Review on Multiply-Accumulate UnitIJERA Editor
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unitNavya Shree
 
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEA SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEEditor IJMTER
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...eeiej_journal
 
Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speedeeiej
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLpaperpublications3
 

What's hot (19)

Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
IJET-V2I6P12
IJET-V2I6P12IJET-V2I6P12
IJET-V2I6P12
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplier
 
2012
20122012
2012
 
Vedic multiplier
Vedic multiplierVedic multiplier
Vedic multiplier
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
 
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)
Fpga implementation of high speed 8 bit vedic multiplier using barrel shifter(1)
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...
 
Design and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MACDesign and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MAC
 
I43024751
I43024751I43024751
I43024751
 
Review on Multiply-Accumulate Unit
Review on Multiply-Accumulate UnitReview on Multiply-Accumulate Unit
Review on Multiply-Accumulate Unit
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
 
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEA SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
 
Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speed
 
VEDIC MULTIPLIER FOR "FPGA"
VEDIC MULTIPLIER FOR "FPGA"VEDIC MULTIPLIER FOR "FPGA"
VEDIC MULTIPLIER FOR "FPGA"
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDL
 

Similar to IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA

High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...IRJET Journal
 
Final Project Report
Final Project ReportFinal Project Report
Final Project ReportRiddhi Shah
 
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...IJTET Journal
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesCSITiaesprime
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd Iaetsd
 
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...VLSICS Design
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Silicon Mentor
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates csandit
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplieridescitation
 
Efficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full SubtractorEfficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full SubtractorIJEEE
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSVLSICS Design
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSVLSICS Design
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSVLSICS Design
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation IJMER
 
Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adderijsrd.com
 
Iaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd Iaetsd
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
 
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTSIRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTSIRJET Journal
 
A Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaA Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaIJERA Editor
 
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...CSCJournals
 

Similar to IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA (20)

High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
Final Project Report
Final Project ReportFinal Project Report
Final Project Report
 
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplier
 
Efficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full SubtractorEfficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full Subtractor
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation
 
Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
 
Iaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversible
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTSIRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
 
A Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on FpgaA Fast Floating Point Double Precision Implementation on Fpga
A Fast Floating Point Double Precision Implementation on Fpga
 
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...
 

More from eeiej_journal

Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...eeiej_journal
 
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...eeiej_journal
 
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER eeiej_journal
 
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...eeiej_journal
 
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...eeiej_journal
 
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...eeiej_journal
 
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...eeiej_journal
 
Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...
 Capacitance-voltage Profiling Techniques for Characterization of Semiconduct... Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...
Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...eeiej_journal
 
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...eeiej_journal
 
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER eeiej_journal
 
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...eeiej_journal
 

More from eeiej_journal (11)

Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
 
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An ...
 
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
 
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...
TOPOLOGY AND CONFIGURATION SELECTION FOR DC/DC CONVERTERS IN SPACE ELECTRICAL...
 
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...
TCSC AND SVC OPTIMAL LOCATION TO IMPROVE THE PERFORMANCE OF POWER SYSTEM WITH...
 
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
 
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...
IMPLEMENTATION OF PERTURB AND OBSERVE MPPT OF PV SYSTEM WITH DIRECT CONTROL M...
 
Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...
 Capacitance-voltage Profiling Techniques for Characterization of Semiconduct... Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...
Capacitance-voltage Profiling Techniques for Characterization of Semiconduct...
 
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...
Development of Improved Diode Clamped Multilevel Inverter Using Optimized Sel...
 
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
SINGLE AXIS PV-PANEL TRACKING FOR AUTOMATED STREET LIGHT CONTROLLER
 
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...
COMPARATIVE STUDY FOR PERFORMANCE ANALYSIS OF ROUTING PROTOCOLS IN MOBILITY A...
 

Recently uploaded

Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 

Recently uploaded (20)

Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 

IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA

  • 1. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 57 IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA Sooraj.N.P. PG Scholar, Electronics & Communication Dept. Hindusthan Institute of Technology, Coimbatore,Anna University ABSTRACT Multiplications and additions are most widely and more often used arithmetic computations performed in all digital signal processing applications. Addition is the basic operation for many digital application. The aim is to develop area efficient, high speed and low power devices. Accurate operation of a digital system is mainly influenced by the performance of the adders. Multipliers are also very important component in digital systems This project deals with the implementation of the VLSI design of the unsigned integer multiplier using modified carry select adder (MCSLA) technique .As all we know that multiplier multiplies two n-bit unsigned integer values and gives a product term of 2n-bit numbers. The ordinary carry look ahead adder (CLAA) based multiplier needs the delay time of 100ns for the multiplication. In CSLA the area is reduced to 31 % than in the CLAA based multiplier. The CSLA based multiplier uses the delay time of 100ns for performing multiplication operation where as in modified CSLA based multiplier also uses nearly the same delay time for multiplication operation. But the area needed for CSLA multiplier is reduced by the modified CSLA based multiplier to complete the multiplication operation. KEYWORDS Unsigned Multiplier, Carry Select Adder, Square Root Carry Select Adder, Modified Carry Select Adder, Ripple Carry Adder, Add and shift multiplier. 1. INTRODUCTION Digital computer arithmetic is one of the main features of logic design with the aim of developing appropriate algorithms in order to optimise the utilization of the available hardware. The basic operations are multiplication, addition, division and subtraction. In this project, I am going to use the operation of additions in the operation of multiplication. The addition operations repeated and shifting results in the multiplication operations. Hardware can only perform a simple and limited set of operations. Arithmetic operations are based on a hierarchy of tasks (operations) that are built upon the simple tasks. In VLSI designs; area, speed and power are the mostly used measures for determining the efficiency and performance of the given architecture. Additions and Multiplications are most widely and more commonly used arithmetic operation performed in many digital signal processing applications.
  • 2. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 58 All complex and simple digital multiplication is based on addition. An area efficient, fast and accurate operation of a digital system is greatly depends on the performance of the basic adders. Adders are very important component in digital logic design because of their wide use in these systems. Hence, to design a better architecture the basic adder blocks must have reduced delay time consumption and area efficient architectures. The demand is of DSP style systems for both less delay time and less area requirement for designing the systems. In the case of digital adders, the speed of addition is limited by the time required by the carry to propagate through the adder which is known as propagation delay time. The sum for each bit in an adder is generated sequentially only after the previous bits have been summed and a carry is obtained to the next position. The carry select adder is used in many digital computational systems to reduce the problem of propagation delay. It can be done by independently generating multiple carries and then select a carry to generate the sum. However, the CSLA is not efficient in the case of area because it uses multiple pairs of Ripple Carry Adders (RCA) to generate partial sum and carry by considering carry input Cin=0 and Cin=1 separately, then the final sum and carry are selected by the multiplexer (mux). In the case of MCSLA the basic idea is to use Binary to Excess-1 Converter (BEC) instead of RCA with Cin = 1 in the regular CSLA to achieve lower area and power consumption The main advantage of this BEC logic comes from the lesser number of logic gates than the n-bit Full Adder (FA) structure. After obtaining the MCSLA; The adder in add and shift multiplier can be replaced. In VLSI design technique there are different types of multiplier structure are available. One of the basic multiplier is add and shift multiplier. This project deals with reduction of area, power requirement of add and shift multiplier without compromising to the speed of computation. 2. PROPOSED SYSTEM The The main logic of CSLA is to compute alternative results in parallel and subsequently selecting the correct result by using mux according to the control bit. In CSLA both sum and carry bits are calculated for two alternatives Cin=O and 1. Once Cin is obtained, the correct computation is taken using a mux to produce the actual output. Instead of waiting for Cin to calculate the sum, the sum is correctly output as soon as Cin gets there. The extra time taken to compute the sum (because of propagation delay) is then avoided which results in good improvement in speed. The architecture of multiplier had shown in Figure 1.
  • 3. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 59 Figure 1: Multiplier Block Diagram 2.1. Multiplier For Unsigned Data As considering CSLA there is considerable area loss which can be avoided by using MCSLA. The figure describes the working of add and shift multiplier using the MCSLA adder. Multiplication involves the production of partial products, for each digit in the multiplier, as in Figure 1.These partial products are then summed to produce the final product. Here comes the role of MCSLA. The multiplication of two n-bit binary integers results in a product of up to 2n bits in length. Figure 2 shows the controller block diagram. This controller is used as the brain of design process. Figure 2: Multiplier Design Block Diagram
  • 4. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 60 The Controller is the control unit of the multiplier. It works according to the START signal. It receives a START signal from the external field and consequently commands all other modules until the result is obtained and it outputs a STOP signal. The implemented design is shown as a finite state machine with states and transition logic as shown in Figure 3. The START signal transitions the state machine out of the idle state and it goes to the initialize state. In this state it commands the multiplicand and multiplier to be loaded into corresponding registers. Once the data is loaded, the state machine goes to test state. From there according to the LSB value it goes through a series of test and shift, or test, add and shift operations. Upon reaching the maximum count for the multiplication cycle, which is defined by the user as the number of bits in multiplier the state machine goes back to the idle state and outputs a Stop signal with the result. Figure 3: Controller FSM Diagram 2.2. Advantages • Cost effective compared to other proposed architectures • High speed, Low power, Lower area • Modified CSLA Can be used to implement Wallace tree Multiplier and Baug- WooleyMultiplier.
  • 5. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 61 2.3. Applications • Data paths in Microprocessors. • Digital Adders are the core block of DSP processors. • Extensively used in processing units such as ALU. • Forming dedicated integer and/or floating-point units. • In Multiply-accumulate (MAC) structures. • Digital Signal processing. • High speed Integrated circuit 3. ADDER DESIGN 3.1. Regular 16 bit SQRT CSLA The architecture of the 16-b SQRT CSLA is shown in Figure 4. It has five groups of different size ripple carry adder. Detailed diagram of each group are shown in Figure 5 Figure 4: Regular 16-b SQRT CSLA.
  • 6. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 62 Figure 5: Detailed Diagram: (a) group2, (b)group 3, (c) group 4, and (d) group 5. F is a Full Adder. In each RCA block there is separate full adders each will generate sum and carry outputs .there is two separate group the first group will work when Cin = 0.the second group will work when Cin = 1 Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 62 Figure 5: Detailed Diagram: (a) group2, (b)group 3, (c) group 4, and (d) group 5. F is a Full Adder. In each RCA block there is separate full adders each will generate sum and carry outputs .there is two separate group the first group will work when Cin = 0.the second group will work when Cin = 1 Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 62 Figure 5: Detailed Diagram: (a) group2, (b)group 3, (c) group 4, and (d) group 5. F is a Full Adder. In each RCA block there is separate full adders each will generate sum and carry outputs .there is two separate group the first group will work when Cin = 0.the second group will work when Cin = 1
  • 7. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 63 3.2.Modified 16 bit SQRT CSLA The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into five groups which is shown Figure 7. Figure 6: Modified 16-b SQRT CSLA. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 63 3.2.Modified 16 bit SQRT CSLA The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into five groups which is shown Figure 7. Figure 6: Modified 16-b SQRT CSLA. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 63 3.2.Modified 16 bit SQRT CSLA The architecture of the modified 16-b SQRT CSLA using Binary to Exess-1 converter for RCA with Cin= 1 to reduce the area and power is shown in Figure 6. We again split the structure into five groups which is shown Figure 7. Figure 6: Modified 16-b SQRT CSLA.
  • 8. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 64 Figure 7: Detailed connection: (a) group 2, (b) group 3, (c) group 4, and (d) group 5. The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer. Each part is explained below 3.3.Block Diagram Details 3.1.1. BEC As stated above in order to reduce the area and power consumption of the regular CSLA this project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n - bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1, Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 64 Figure 7: Detailed connection: (a) group 2, (b) group 3, (c) group 4, and (d) group 5. The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer. Each part is explained below 3.3.Block Diagram Details 3.1.1. BEC As stated above in order to reduce the area and power consumption of the regular CSLA this project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n - bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1, Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 64 Figure 7: Detailed connection: (a) group 2, (b) group 3, (c) group 4, and (d) group 5. The Blocks are ripple carry adder (RCA), binary to excess 1 converter (BEC) and Multiplexer. Each part is explained below 3.3.Block Diagram Details 3.1.1. BEC As stated above in order to reduce the area and power consumption of the regular CSLA this project uses BEC instead of the RCA with Cin = 1. An n+1 -bit BEC is required to replace the n - bit RCA. The architecture and the function table of a 4-b BEC are shown in Figure 8 and Table 1,
  • 9. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 65 respectively. Figure 9 illustrates the functionality of MCSLA. It gives the basic function of the CSLA is obtained by using the 4-bit BEC together with the mux. One of the inputs of the 8:4 mux is direct input (B3, B2, B1, and B0) and other input of the mux is the output of BEC. This will results in two possible partial results in parallel. According to the control signal Cin the mux is used to select either the BEC output or the direct inputs. The importance of the BEC logic is that this logic results in the large silicon area reduction when the CSLA with large number of bits are designed. The Boolean expressions of the 4-bit BEC is given as X0 = NOT (B0) X1 = B1 XOR B0 X2 = B2 XOR (B1 AND B0) X3 = B3 XOR (B2 AND B1 AND B0) Figure 8: 4-b BEC.
  • 10. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 66 Figure 9: 4-b BEC with 8:4 MUX. Table 1: Conversion table B[3:0] X[3:0] 0000 0001 0001 0010 0010 0011 | | 1110 1111 1111 0000 3.3.1. RCA It is the well-known adder architecture. As shown in Figure 10 ripple carry adder is composed of cascaded full adders for 4-bit adder. RCA can be constructed by cascading full adder blocks in series. The carry out from one stage of full adder is fed to the carry-in of the next stage adder. ‘n’ full adders are required for an n-bit parallel adder. The dark line shows the carry flow from first full adder to the last.
  • 11. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 67 Figure 10: Ripple carry adder When larger bit length numbers are used; RCA is not very efficient. Delay increases linearly with bit length. the carry-propagation chain will determine the latency of the whole circuit for a Ripple-Carry adder hence delay from Carry-in to Carry-out is more important than the delay from input to carry-out or carry-in to SUM. Figure 10. Shows ripple carry adder with carry flow. 3.3.2. Basic Adder Blocks An XOR gate is implemented by using AND, OR, and Inverter (AOI) as shown in Figure 11. The gates between the dotted lines are performing the operations in parallel. That means both will execute same time The delay and area evaluation methodology considers all gates to be made up of AND, OR, and Inverter, each having delay equal to 1 unit and area equal to 1 unit. By adding up the number of gates in the longest path of a logic block we will gets the maximum delay. For each logic block the area evaluation is calculated by counting the total number of AND, OR, and NOT gates required. Figure 11: Adder.
  • 12. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 68 4. SIMULATION RESULTS Codes for Multiplier and MCSLA are successfully verified by the simulation. Error conditions are intentionally made in the coding to check the complete functionality. Obtained utilization summery and simulated output is shown below Figure 12. This adder can be used for the construction of add and shift multiplier which have lowest area, high speed and minimum power consumption. 4.1. Device Utilization Summary: Number of Slices : 6 out of 960 0% Number of 4 input LUTs : 11 out of 1920 0% Number of IOs : 50 Number of bonded IOBs : 50 out of 66 75% 4.2. Simulated Output Figure 12: Simulated Output of MCSLA The timing diagram displayed in Figure 13 shows one complete multiplication cycle of multiplier. This indicates from the Start signal to the Stop signal. The starting of computation is indicated by a start signal .Once the Stop signal is asserted at the end of the multiplication cycle; the result is obtained. From the figure, the .Multiplier byte is ‘A’ and the Multiplicand byte is ‘96’so the expected result is 5DC.
  • 13. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 69 Figure 13: Simulated Output of Multiplier 5. CONCLUSIONS Successfully achieved faster adder structure using the Modified Carry Select Adder structure. With increasing word size, reduction of the delay increases; but the overhead of the area and power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh- Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and significant speed can be achieved without much area or power constraints; that is, the 128-bit multiplier would be not only fast but also area, power, and energy efficient. The speed improvements are significant. Proposed techniques also improve the performance of multipliers. These design techniques can be implemented with all type of parallel multipliers of bit size higher than 16-b to achieve optimum performance without significant area and power constraints. ACKNOWLEDGMENT I would like to thank the Department of Electronics and Communication Engineering, HIT, Coimbatore for providing laboratory facilities and opportunity for experimental setup. REFERENCES [1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5. [2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 69 Figure 13: Simulated Output of Multiplier 5. CONCLUSIONS Successfully achieved faster adder structure using the Modified Carry Select Adder structure. With increasing word size, reduction of the delay increases; but the overhead of the area and power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh- Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and significant speed can be achieved without much area or power constraints; that is, the 128-bit multiplier would be not only fast but also area, power, and energy efficient. The speed improvements are significant. Proposed techniques also improve the performance of multipliers. These design techniques can be implemented with all type of parallel multipliers of bit size higher than 16-b to achieve optimum performance without significant area and power constraints. ACKNOWLEDGMENT I would like to thank the Department of Electronics and Communication Engineering, HIT, Coimbatore for providing laboratory facilities and opportunity for experimental setup. REFERENCES [1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5. [2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 69 Figure 13: Simulated Output of Multiplier 5. CONCLUSIONS Successfully achieved faster adder structure using the Modified Carry Select Adder structure. With increasing word size, reduction of the delay increases; but the overhead of the area and power constraints decreases. The MCSLA adder is used to construct efficient Add and Shift multiplier. MCSLA structure also can be used to make Wallace tree multiplier and Baugh- Wooley (BW) multiplier effectively. The proposed multipliers are energy efficient. The proposed multiplier architecture can also be used to construct 32 bit, 64 bit and 128bit multiplier and significant speed can be achieved without much area or power constraints; that is, the 128-bit multiplier would be not only fast but also area, power, and energy efficient. The speed improvements are significant. Proposed techniques also improve the performance of multipliers. These design techniques can be implemented with all type of parallel multipliers of bit size higher than 16-b to achieve optimum performance without significant area and power constraints. ACKNOWLEDGMENT I would like to thank the Department of Electronics and Communication Engineering, HIT, Coimbatore for providing laboratory facilities and opportunity for experimental setup. REFERENCES [1] Ramkumar, B. and Harish M Kittur,( 2012) “Low Power and Area Efficient Carry Select Adder”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-5. [2] V.Vijayalakshmil, R.Seshadd, Dr.S.Ramakrishnan,(2013) Design and Implementation of 32 Bit Unsigned Multiplier Using CLAA and CSLA 978-1-4673-5301-IEEE.
  • 14. Emerging Trends in Electrical, Electronics & Instrumentation Engineering: An international Journal (EEIEJ), Vol. 1, No. 1, February 2014 70 [3] He, Y. Chang, C. H. and Gu, J.( 2005) “An Area Efficient 64-Bit Square Root Carry-Select Adder for Low Power Applications,” in Proc. IEEE Int. Symp. Circuits Syst., Vol.4, pp. 4082–4085. [4] Padma Devi, AshimaGirdher and Balwinder Singh (1998)“Improved Carry Select Adder with Reduced Area and Low Power Consumption,”International Journal of Computer Applications, Vol.3, No.4, pp. 14-18. [5] AkhileshTyagi, (1993)“A Reduced-Area Scheme for Carry-Select Adders,” IEEE Transactions on Computers, Vol.42, No.10, pp.1163-1170. [6] Edison A.J and C.S.Manikandababu (2012.)“An Efficient CSLA Architecture for VLSI Hardware Implementation” International Journal for Mechanical and Industrial Engineering, Vol. 2. Issue 5. [7] P.Sreenivasulu,.K.SrinivasaRao, Malla Reddy, and A.VinayBabu(2012) “Energy sand Area efficient Carry Select Adder on a reconFigureurable Hardware” International Journal of Engineering Research and Applications, Vol. 2, Issue 2, pp.436-440. [8] Sarabdeep Singh and Dilip Kumar, (2011) “Design of Area and Power efficient Modified Carry Select Adder” International Journal of Computer Applications (0975 – 8887) Volume 33– No.3. [9] S. Brown and Z. Vranesic, (2005) Fundamentals of Digital Logic with VHDL Design, 2nd ed., McGraw-Hill Higher Education, USA,. ISBN:0072499389. [10] P. C. H. Meier, R. A. Rutenbar and L. R. Carley(1996), "Exploring Multiplier Architecture and Layout for low Power", CIC'96. [11] HasanKrad and AwsYousi(2010)"Design and Implementation of a Fast Unsigned 32-bit Multiplier Using VHDL". [12] SreehariVeeramachaneni, Kirthi M, Krishna LingamneniAvinashSreekanth Reddy Puppala M.B. Srinivas(2007), ‘Novel Architectures for High-Speed and Low-Power 3-2, 4:2and 5:2Compressors’, 20th International Conference o VLSI Design, Pp: 324-329. [13] S. F. Hsiao, M. R. Jiang, and J. S. Yeh, (1998.)‘Design of high speed low-power 3:2counter and 4:2compresso for fast multipliers’, Electron. Lett, vol. 34, no. 4, Pp. 341–343. [14] K. Prasad and K. K. Parhi, (2001)‘Low-power 4:2and 5:2compressors,’ in Proc. of the 35th Asilomar Conf. on Signals, Systems and Computers, vol. 1, , Pp.129–133. [15] Massimo Alioto and Gaetano (2002), ‘Analysis and Comparison on Full Adder Block in Submicron Technology’, IEEE Transaction Very Large Scale Integration (VLSI) Systems, Vol 10, No. 6, Pp: 806 –823. [16] Anantha P. Chandrakasan, Samuel Sheng and Rober W. Brodersen (1992), ‘Low-Power CMOS Digital Design‘, IEEE Journal of Solid State Circuits, Vol.27, No. 4. [17] P. S. Mohanty,( 2009.)"Design and Implementation of Faster and Low Power Multipliers", Bachelor Thesis. National Institute of Technology, Rourkela. Author Mr. Sooraj.N.P. Pursuing M.E. in VLSI Design and Embedded Systems, from Hindusthan Institute of Technology, Coimbatore under Anna University, Chennai. He Received B.Tech degree from Kannur University in Electronics and Communication Engineering in 2010.He is currently an intern in Nexegen Technologies. He got many prizes for the event line follower. His interests include automation, low power design and robotics.