SlideShare a Scribd company logo
1 of 15
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
DOI : 10.5121/vlsic.2016.7302 13
FOLDED ARCHITECTURE FOR NON
CANONICAL LEAST MEAN SQUARE ADAPTIVE
DIGITAL FILTER USED IN ECHO
CANCELLATION
Pradnya Zode1
and Dr.A.Y.Deshmukh2
1
Research Scholar, Department of Electronics Engineering
G.H.Raisoni College of Engineering, Nagpur
2
Professor, Department of Electronics Engineering
G.H.Raisoni College of Engineering, Nagpur
ABSTRACT
Power consumption reduction is transpiring drift in area of VLSI digital signal processing. This gives rise
to need of minimization of silicon area which is done by folding algorithm. As silicon area decreases power
consumption of a circuit decreases. Folding is an algorithm which reduces silicon chip area by combining
various arithmetic operations into one operation by time scheduling technique. It is applied on iterative
data flow graph with appropriate folding set. Least mean square algorithm alters coefficients of Adaptive
filter in order to achieve desired output. Proposed work is focused on design of efficient VLSI architecture
for LMS adaptive filter aims at reducing mainly area which results in power consumption reduction and
hardware complexity. LMS filter structure used here is called non-canonical as transpose FIR structure is
used. Results show that numbers of adders are reduced by 37.5 % and multipliers by 33.33% without
changing characteristics of filter.
KEYWORDS
VLSI signal processing, folding, cutset retiming, iterative DFG, non-canonical.
1. INTRODUCTION
Today, every circuit has to face the power consumption issue, for both portable devices aiming at
longer battery life and high-end circuits avoiding cooling packages and reliability issues that are
too complex [1]. As a result, for any chip design, power consumption has to be taken into account
very seriously. Earlier, only speed and silicon area were important in the design of integrated
circuits, and power consumption was not an issue. Later, it was recognized that power
consumption must be taken into account as a main design parameter. The increasing trend
towards low power systems and high performance has forced researchers to come up with
innovative design techniques which can achieve these objectives and meet the constricted system
requirements. Again energy has always been a precious commodity. Smaller the size of gadgets,
the lower is the energy requirements; this leads to the rise of minimization of the silicon area of
the integrated circuits such as adders and multipliers. The performances and cost of any digital
circuit depend on circuit design style. Therefore a careful choice of circuit design style is to be
done for developing an architecture which has to establish optimal area-time-power trade-off.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
14
Due to the rapid growth of communication systems, Digital Signal Processing (DSP) is one of the
fastest growing fields in electronics industry [7]. DSP plays a critical role in modern computing,
which is used in numerous applications such as video compression, digital set-top box, cable
modems, digital versatile disk, data communications, wireless communications,
telecommunications, image processing, voice recognition systems, portable systems/computers,
multimedia, speech processing, ,digital radio, digital still and network cameras, speech
processing, transmission systems, radar imaging, acoustic beam formers, global positioning
systems, and biomedical signal processing. The term “digital signal processing” refers to
continuous mathematical manipulation on data applied in real time. These algorithms include
digital filters, adaptive filters, discrete cosine transform, inverse discrete cosine transform, Fast
Fourier transform, convolution, correlation, and several others [3].In Digital Signal processing
architectures, the folding algorithm is used to systematically determine the control circuits where
multiple algorithm operations are time multiplexed to a single functional unit. This folding
technique can be used for synthesis of Digital Signal processing architectures that can be operated
using single or multiple clocks. In synthesizing DSP architectures, it is important to minimize the
silicon area of the integrated circuits, which is achieved by reducing the number of functional
units (such as multipliers and adders), registers, multiplexers, and interconnection wires. For
example, executing N addition operations using a single adder [2]. This means executing multiple
operations on a single functional unit and the number of functional units in the implementation is
reduced, thus resulting with low silicon area in integrated circuits [2].In this paper folding
algorithm is applied to a least mean square (LMS) adaptive filter. The digital filter is one of the
fundamental processing elements in any digital signal processing (DSP) system. Digital filters are
used in DSP applications that range from video and image processing to wireless
communications. Filters are used to achieve desired spectral characteristics of a signal, to reject
unwanted signals, like noise or interferers, to reduce the bit rate in signal transmission, etc. The
idea behind filters adaptive is that the filer should change of alter filter coefficients to some
algorithm or real time. Adaptive filter is a computational device that attempts to model the
relationship between two signals in real time in an iterative manner [4]. Adaptive filters, because
of their ability to operate satisfactorily in non-stationary environments, have become an important
part of DSP applications where the statistics of the incoming signals are unknown or changing.
Some examples are in channel equalization, echo cancellation or adaptive beamforming. Adaptive
filters can adjust to unknown environment, and even track signal or system characteristics varying
over time. The least mean squared error (LMS) algorithm is a most popular choice for the
adaptive filter implementation as the LMS algorithm is very simple and effective [4]. In this
paper, we proposed an efficient low-area, low power folded VLSI architecture for LMS adaptive
filter. Folding is a technique to reduce the silicon area by time multiplexing many algorithm
operations into single functional units, such as adders and multipliers [2, 5]. The LMS filter
structure used in this paper is referred as non canonical LMS filter as the FIR filter block in the
LMS filter structure is the transpose FIR structure. It is advantages to use the transpose FIR
structure as it has less critical path compared to the standard DFG (Data Flow Graph).
This paper is organized as follows. Review of LMS Adaptive Filter is given in section-2. Section-
3 addresses the importance of Data Flow Graph Model. Basic essentials of folding technique are
described in section-4. Section-5 presents the folding transformation technique applied on LMS
adaptive filter. Section-6 presents the implementations of original LMS adaptive filter and folded
non canonical LMS adaptive filter and their results with respect to power and area. Finally some
conclusions are given in Section-7.
2. REVIEW OF LMS ADAPTIVE FILTER
Adaptive filter is a computational device that attempts to model the relationship between two
signals in real time in an iterative manner [3]. The computational skill within adaptive filtering
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
15
are the algorithms used to calculate the updated filter weights. An adaptive filter is defined by
four aspects: the signals being processed by the filter and the structure that defines how the output
signal of the filter is computed from its input signal, the parameters within its structure that can be
iteratively changed to alter the filter’s input-output relationship and the adaptive algorithm that
describes the way how parameters are adjusted from one time, instant to the next. The general
adaptive filter system is shown in figure 1.
Figure 1. General adaptive filter system
The LMS algorithm offers a very simplistic yet powerful approach; giving good performance
under the right conditions [4].The LMS algorithm is a stochastic gradient algorithm which uses a
fixed step-size parameter to control the updates to the tap weights of a transversal filter. The
algorithm aims to minimize the mean-square error, the error being the difference in y (k) and d
(k). It has been used in a wide range of applications because of its robustness and low
computational complexity. It is iterative procedure involves computing the output of a Finite
Impulse Response (FIR) filter produced by a set of filter coefficients and followed by the
generation of an estimated error by comparing the filters output to a desired response and finally
adjusting the filter coefficients based on the estimation error. An adaptive filtering is
fundamentally based on discrete mathematics of least square minimization theory and least square
is of course widely used in statistical analysis and virtually every branch of science and
engineering. Digital filters weights are updated as some function of the error signal. [4]
Aim of the Adaptive filter is to minimize the error signal and this depends on nature of input,
length of Adaptive filter [4]. Adaptive algorithm
x (k)=input signal
y (k) = desired signal
e (k)=Error signal
d (k)=w(k)x(k)
e (k) = d(k) – y(k)
Weight update algorithm, w (k+1) = w(k) + 2µ e(k) x(k). (1)
µ = step size
3. DATA-FLOW GRAPH MODEL
Data flow graph is a type of graphical representation of digital signal processing algorithms.
Graphical representations are efficient for finding out the data flow properties of DSP algorithms
and the execution of different subtasks. It bridges the gap between algorithmic description and
structural implementation of a DSP algorithm as a result it is easy to map DSP algorithms to
hardware implementations. DFG is a conceptual view for expressing the function of a DSP
system. DFGs are used for structural properties analysis and to find out architectural alternatives
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
16
using high level transformations like pipelining, parallel processing ,retiming ,folding, unfolding.
In DFG representations the nodes represent computations (or functions or subtasks), while the
directed edges represent data paths (data communications between nodes) and each edge has a
nonnegative number of delays associated with it [2]. DSP algorithms are described by non-
terminating programs which executes the same code repetitively. The DFG describes the data
flow among subtasks or basic computations shown by nodes in a signal processing algorithm. The
DFG for a 3-tap FIR filter is shown figure 2.
Figure 2. DFG of a 3-tap FIR filter
The DFG for LMS adaptive filter is shown in figure 3 which is used for further operations in this
paper. The FIR filter structure block in the LMS filter structure is the transpose FIR structure. It is
advantages to use the transpose FIR structure as it has less critical path compared to the standard
DFG.
Figure 3. LMS Adaptive filter DFG
4. BASIC ESSENTIALS OF FOLDING TECHNIQUE
The folding transformation technique is introduced by K.K. Parhi which is described in [2]. A
brief review of folding transformation is given here before applying it to LMS adaptive filter. The
folding transformation is explained in Figure 4. a) And Figure 4. b). Figure 4 a) shows an edge
from node U to node V with D weight i.e. the edge have w (e) delay value on it. Figure 4 b)
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
17
shows the corresponding folded edge. The functional source unit of node U is HU which is
pipelined by PU stages must pass through delays given by
DF (U V) = Nw(e) - PU + v – u (2)
The edge switched at destination functional unit HV of node V at instance Nl+v, where N is a
folding factor. It is defined as the number of operations folded to a single functional unit while u
and v are the folding orders of nodes U and V respectively. The folding order of a node the time
partition to which the node is scheduled to execute in hardware. A folding set, S, is defined as an
ordered set of operations, which contains N entries, executed by the same functional unit. For a
folded system to be possible, DF (U V) ≥ 0 must hold for all of the edges in the DFG. Once
valid folding sets have been assigned, retiming can be used to satisfy this property or determine
that the folding sets are not feasible [1].
(a)
(b)
Figure 4 (a) and (b)
The main objective of this paper is to design LMS adaptive filter data flow graph shown in figure
2 using Folding Algorithm. This leads to reduction in execution time, silicon area and power
consumption. Folding is a technique to reduce the silicon area by time multiplexing many
operations into single functional units (such as adder and multiplier). The LMS adaptive data flow
graph consists of adders, multipliers and delays.
5. FOLDING TRANSFORMATION TECHNIQUE
The complete procedure for folding transformation technique is described stepwise below [2].
1. Selection of appropriate folding order and accordingly folding set
2. Write the folding equation using DFG
3. If needed perform retiming for folding
4. Rewrite folding Equation
5. Using folding equation construct Lifetime table
6. Find out Lifetime chart and minimum number of registers
7. Carry out data allocation using forward and backward register allocation technique
8. Draw folded architecture
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
18
In this paper, the folding factor N=4 is selected for performing folding on LMS adaptive filter.
For reducing the complexity here, we have divided the LMS DFG of figure 2 in two parts first the
upper part is the FIR filter block shown in figure 5 and the below part is the filter weight
adaptation block shown in figure 11.
Figure 5. FIR filter block
5.1. Stepwise Folding of upper part FIR filter block
Step 1: Select Folding order N=4 and folding sets M for multipliers and A for adders as
M = {M0, M1, M2, M3}
A = {A0, A1, A2, Ø} where Ø is the null operation.
The folding set M for multipliers means a single multiplier in the folded architecture will perform
4 different operations depends on the order specified in folding set.
Step 2: Write the Folding Equation for DFG in figure 4 using folding equation
DF (U→V) = N w (e) – PU + v – u
• DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = -2
• DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = -2
• DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = -2
• DF (M3 →A2) = 4 (2) – 2 + 2 – 3 = 1
• DF (A2 →A1) = 4 (1) – 1 + 1 – 2 = 2
• DF (A1 →A0) = 4 (1) – 1 + 0 – 1 = 2
Step 3: If needed perform Retiming for folding
For proper folding none of the edge of the DFG should have negative delay value. As there are
negative delays on some edges so have to perform retiming for folding on the same structure so
that all edge delay should have positive value. Retiming is a transformation technique used to
change the locations of delay elements in a circuit without affecting the input/output
characteristics of the circuit [2]. Here cutest retiming is used which is a special case of retiming.
DFG with cutest is shown in figure 6. The retimed DFG is shown in figure 7.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
19
Figure 6. FIR filter DFG with cutset
Figure 7. Retimed FIR filter DFG
Step4: Rewrite Folding Equation
• DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = 2
• DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = 2
• DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = 2
• DF (M3 →A2) = 4 (2) – 2 + 2 – 3 = 1
• DF (A2 →A1) = 4 (1) – 1 + 1 – 2 = 2
• DF (A1 →A0) = 4 (1) – 1 + 0 – 1 = 2
From the above folding equations it is clear that now all the edges in the DFG have positive value
of delay.
5.2. Register minimization
The folded structure may contain a more number of registers for storing the intermediate results.
Therefore there is a need to minimize the number of registers used in the FIR filter architecture so
that the silicon area occupied by the registers is to be minimized [2]. The procedure for
computing the minimum number of registers and for allocating the data to these registers is as
follows:
Step5: Using folding equation construct Lifetime table
The life period for each node is shown in Table 1, which is calculated using (3) and (4).
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
20
Tinput = u + Pu (3)
Toutput = u + Pu + max v{DF (U→V)} (4)
Table 1: Life time table for each node of the FIR filter
Node Tinput Toutput Tinput → Toutput
M0 0+2=2 2+2=4 2→4
M1 1+2=3 3+2=5 3→5
M2 2+2=4 4+2=6 4→6
M3 3+2=5 5+5=10 5→10
A0 -- -- --
A1 1+1=2 2+2=4 2→4
A2 2+1=3 3+2=5 3→5
Step 6: Find out Lifetime chart and minimum number of registers
A linear lifetime chart for the FIR is shown in Fig.8, which graphically represent the lifetime of
each variable in a linear fashion. A variable is said to be alive from the time it is produced until it
is consumed [6]. After the sample is consumed, it is dead. A variable takes up one register when it
is alive. In lifetime analysis, the number of live variables at any time unit is determined, which
gives the minimum number of registers required to implement the FIR architecture.The linear
lifetime chart shown in Figure 7 is based on [2], where each horizontal line represents a clock
cycle and each vertical line represents the lifetime of a variable. From the lifetime chart, the
minimum numbers of registers that can be used to implement the architecture of a FIR filter is the
maximum number of live variables at any time step [6]. The minimum number of registers to
implement the FIR is maximum of live variables =max {0, 1, 2, 3, 4} = 4.
Figure 8. Lifetime chart for FIR filter
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
21
Step 7: Data allocation using forward backward register allocation technique
The registers are denoted as R1, R2, R3, and R4 in the allocation table shown in Figure 9. The
variables are allocated forward first and then to an appropriate backward register, thus the name
“forward-backward” [6]. In this allocation technique, the registers are reused and dead variables
are not stored.
Figure 9. Register allocation table for FIR filter
Step 8: Folded Architecture
The architecture has drawn with the help of all above 7 steps results in a folded structure for the
FIR filter is shown in figure 10.
Figure 10. Folded Architecture of FIR filter
5.3. Stepwise folding of lower part of DFG i.e. weight update block
Figure 10 shows the folded architecture of the upper part of the LMS adaptive filter. The final
folded structure will be the combined folded structure of upper and lower structure of LMS filter.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
22
The below process is folding process for the lower part of LMS i.e. weight update block DFG is
shown in figure 11.
Step1: Selection of appropriate Folding order and accordingly Folding Set
Again Folding order, N=4 and Folding sets for multiplier and adder are
M = {M0, M1, M2, M3}
A = {A0, A1, A2, A3}
Figure 11. LMS weight update block DFG
Step 2: Write the Folding Equation using DFG
• DF (M0 →A0) = 4 (0) – 2 + 0 – 0 = -2
• DF (M1→A1) = 4 (0) – 2 + 1 – 1 = -2
• DF (M2 →A2) = 4 (0) – 2 + 2 – 2 = -2
• DF (M3 →A3) = 4 (1) – 2 + 2 – 3 = -2
Step3: If needed perform Retiming for folding.
As all edges have negative value of delay so cutset is applied to the DFG as shown in figure 12.
Figure 12. LMS weight update block DFG with cutest
Figure 13 shows the effect of cutest retiming on the above DFG.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
23
Fig.13.Retimed LMS weight update block DFG
Step4: Rewrite Folding Equation
• DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = 2
• DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = 2
• DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = 2
• DF (M3 →A3) = 4 (1) – 2 + 2 – 3 = 2
Step 5: Using above folding equation constructed Lifetime table is shown in table 2
Table 2. Life time table of LMS weight update block
Node Tinput Toutput Tinput →Toutput
M0 0+2=2 2+2=4 2→4
M1 1+2=3 3+2=5 3→5
M2 2+2=4 4+2=6 4→6
M3 3+2=5 5+2=7 5→7
Step 6: Find out Lifetime chart and minimum number of registers
The lifetime chart for LMS weight update block is shown in figure 14 and the maximum number
of registers needed for the implementation of folded architecture is maximum of live
variables=max {0, 1, 2} = 2.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
24
Figure 14. Lifetime chart for LMS weight update block
Step 7: Data allocation using the forward backward register allocation technique is shown in
figure 15 [6].
Figure 15. Allocation table for LMS weight update block
Step 8: Folded architecture for LMS weight update block is shown in figure 16.
Figure 16.Folded Architecture for LMS weight update block
Folded structure of FIR filter block and folded structure of weight update block are joined to form
the complete LMS adaptive filter folded structure shown in figure 17.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
25
Figure 17. Final Folded Architecture of non canonical LMS adaptive filter
6. IMPLEMENTATION OF PROPOSED WORK AND RESULTS
Table 3 shows a comparison between the conventional and folded non canonical LMS adaptive
filter in terms of the number of functional units i.e. adders & multipliers and the number of
registers. As shown, the folding transformation results in a lower number of functional units at the
cost of a slight increase in the number of registers.
Table 3. Comparison between the conventional and folded LMS adaptive filter
No. of functional units
No. of registers
Adders Multipliers
Conventional 8 9 10
Folded 3 3 13
It is seen that the number of adders are reduced by 37.5 % and multipliers by 33.33%. This result
definitely shows the reduction in silicon area as per objective and hence the power consumption is
also reduced.
6.1. Device utilization comparison of original DFG and folded DFG
The original and folded LMS adaptive filter is modelled in Verilog HDL and synthesized using
ISE-Xilinx tool. Both the designs were synthesized using Spartan FPGA and the results are
shown in the table 4.
Table 4. Synthesis result of conventional and proposed folded DFG architecture
Parameter Conventional Folded
Power 0.017W 0.011W
Delay 9.655ns 11.403ns
Power-delay product 0.164135 0.125433
frequency 103.572MHz 80.625MHz
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
26
Main focus of this paper is to design a filter which can be used in telecommunication for echo
cancellation using LMS Adaptive algorithm. The echo cancellation period of unfolded LMS
structure with folded one is compared and shown in fig.18.An audio dot wave file is called in
software MATLAB and noise is added to this file as shown in fig.18. 2048 output samples are
generated which are stored in a do file. This do file is given as an input the Verilog coding and
simulated. Output text file is generated which is given to the MATLAB programming and the
samples are plotted as shown in figure 18.
Figure 18. Echo cancellation output
7. CONCLUSION
In this work, a suitable non canonical LMS adaptive filer was realized in a digital environment
using Xilinx ISE tool. This implemented digital filter can be used in telecommunication for echo
cancellation. Our results show that the folded architecture of LMS adaptive filter significantly
reduces the area of the filter, but there is a trade-off with the delay. Again the power consumption
is reduced by 35%. Folding transformation reduces the number of hardware functional units by
factor N at the expense of increasing the computation time by a factor of N. Here, folding factor =
4 means that the iteration period of folded hardware is 4 unit time means each node in filter is
executed exactly once every 4unit time in folded structure.
REFERENCES
[1] Christian Piguet, History of Low-Power Electronics, CRC Press, 2005
[2] K. K. Parhi, VLSI Digital Signal Processing Systems: Design and Implementation. Hoboken, NJ,
USA: Wiley, 1999.
[3] V. Oppenheim, R. W. Schafer, and J. R. Buck, Discrete-time signal processing. Prentice-hall
Englewood Cliffs, 1989.
[4] S.Haykin, Adaptive Filter Theory. Prentice Hall, 1991
International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016
27
[5] K. K. Parhi, C. Y.Wang, and A. P. Brown, “Synthesis of control circuits in folded pipelined DSP
architectures,” IEEE J. Solid-State Circuits, vol. 27,no. 1, pp. 29–43, Jan. 1992.
[6] Rajalakshmi Karuppuswamy, Kandaswamy Arumugam, and Swathi Priya M.” Folded Architecture
for Digital Gammatone Filter Used in Speech Processor of Cochlear Implant “ETRI Journal, Volume
35, Number 4, August 2013
[7] Keshab K. Parhi, “Verifying Equivalence of Digital Signal Processing Circuits” Asilomar 2012.
AUTHORS
Pradnya Zode received B.E. (Electronics & Telecommunication) & M.Tech. (VLSI
Design) Degrees from the RTM Nagpur University in 2001 and 2006 respectively.
Currently working towards the Ph.D. from RTM Nagpur University, Nagpur She is
currently working as Assistant Professor at Electronics Engineering Department of
Yeshwantrao Chavan College of Engineering, Nagpur. She is Associate Member of
Institution of Engineers (India) and Life Member of Indian Society for Technical
Education. Her main interests include the design of Low-power VLSI Signal
Processing.
Amol Deshmukh received M.E.(Electronics) from the SGGSIET, Nanded and PhD
from VNIT, Nagpur in 2001 and 2010 respectively. He is currently Deputy Director
and Dean Placements at G.H. Raisoni College of Engineering, Nagpur. He is
Technical Committee Member of IEEE Soft Computing, USA & Senior Member-
IEEE. His main interests include the Low Power VLSI Design, Embedded System
Design and Low-power VLSI Signal Processing. He has also worked as Coordinator
TEQIP-II (World Bank Assistance Project). He has to his credit around 100 plus
International Conference and Journal Publications. He has also worked as
International Co-Chair for ICETET-08, ICETET-09, ICETET-10, ICETET-11,
ICETET-12, ICETET-13 (International Conference on Emerging Trends in
Engineering & Technology). He has filed 02 Patents. He has received a research
grant of Rs.7.37 Lacs from AICTE under RPS.

More Related Content

What's hot

Design of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search AlgorithmDesign of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search AlgorithmIJERA Editor
 
Realization of high order iir digital bandstop filter using domain transfer a...
Realization of high order iir digital bandstop filter using domain transfer a...Realization of high order iir digital bandstop filter using domain transfer a...
Realization of high order iir digital bandstop filter using domain transfer a...Subhadeep Chakraborty
 
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...Raj Kumar Thenua
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Application of MUSIC Algorithm for Adaptive Beamforming Smart Antenna
Application of MUSIC Algorithm for Adaptive Beamforming Smart AntennaApplication of MUSIC Algorithm for Adaptive Beamforming Smart Antenna
Application of MUSIC Algorithm for Adaptive Beamforming Smart AntennaIRJET Journal
 
37 9144 new technique based peasant multiplication (edit lafi)
37 9144 new technique based peasant multiplication (edit lafi)37 9144 new technique based peasant multiplication (edit lafi)
37 9144 new technique based peasant multiplication (edit lafi)IAESIJEECS
 
Optimized FIR filter design using Truncated Multiplier Technique
Optimized FIR filter design using Truncated Multiplier TechniqueOptimized FIR filter design using Truncated Multiplier Technique
Optimized FIR filter design using Truncated Multiplier TechniqueIJMER
 
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET Journal
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation IJEEE
 
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMS
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMSFPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMS
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMSEditor IJMTER
 
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...sipij
 
Implementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax TechnologyImplementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax Technologyiosrjce
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...idescitation
 
Performance Analysis and Simulation of Decimator for Multirate Applications
Performance Analysis and Simulation of Decimator for Multirate ApplicationsPerformance Analysis and Simulation of Decimator for Multirate Applications
Performance Analysis and Simulation of Decimator for Multirate ApplicationsIJEEE
 
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...iosrjce
 
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...IDES Editor
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...VLSICS Design
 
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm IJECEIAES
 

What's hot (19)

Design of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search AlgorithmDesign of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
Design of Low Pass Digital FIR Filter Using Cuckoo Search Algorithm
 
Realization of high order iir digital bandstop filter using domain transfer a...
Realization of high order iir digital bandstop filter using domain transfer a...Realization of high order iir digital bandstop filter using domain transfer a...
Realization of high order iir digital bandstop filter using domain transfer a...
 
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...
Simulation and hardware implementation of Adaptive algorithms on tms320 c6713...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Application of MUSIC Algorithm for Adaptive Beamforming Smart Antenna
Application of MUSIC Algorithm for Adaptive Beamforming Smart AntennaApplication of MUSIC Algorithm for Adaptive Beamforming Smart Antenna
Application of MUSIC Algorithm for Adaptive Beamforming Smart Antenna
 
37 9144 new technique based peasant multiplication (edit lafi)
37 9144 new technique based peasant multiplication (edit lafi)37 9144 new technique based peasant multiplication (edit lafi)
37 9144 new technique based peasant multiplication (edit lafi)
 
Optimized FIR filter design using Truncated Multiplier Technique
Optimized FIR filter design using Truncated Multiplier TechniqueOptimized FIR filter design using Truncated Multiplier Technique
Optimized FIR filter design using Truncated Multiplier Technique
 
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
IRJET- Image and Signal Filtering using Fir Filter Made using Approximate Hyb...
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation
 
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMS
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMSFPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMS
FPGA IMPLEMENTATION OF NOISE CANCELLATION USING ADAPTIVE ALGORITHMS
 
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...
“FIELD PROGRAMMABLE DSP ARRAYS” - A NOVEL RECONFIGURABLE ARCHITECTURE FOR EFF...
 
Implementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax TechnologyImplementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax Technology
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
 
Performance Analysis and Simulation of Decimator for Multirate Applications
Performance Analysis and Simulation of Decimator for Multirate ApplicationsPerformance Analysis and Simulation of Decimator for Multirate Applications
Performance Analysis and Simulation of Decimator for Multirate Applications
 
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...
Performance Analysis of Fractional Sample Rate Converter Using Audio Applicat...
 
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...
Adaptive Channel Equalization for Nonlinear Channels using Signed Regressor F...
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
 
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm
Linear Phase FIR Low Pass Filter Design Based on Firefly Algorithm
 
Ko3518201823
Ko3518201823Ko3518201823
Ko3518201823
 

Viewers also liked

Cost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systemsCost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systemsVLSICS Design
 
Low power 16 channel data selector for bio-medical applications
Low power 16 channel data selector for bio-medical applications Low power 16 channel data selector for bio-medical applications
Low power 16 channel data selector for bio-medical applications VLSICS Design
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterDesign of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterVLSICS Design
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesVLSICS Design
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...VLSICS Design
 
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...VLSICS Design
 
A new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuitsA new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuitsVLSICS Design
 
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...VLSICS Design
 
Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaVLSICS Design
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...VLSICS Design
 
A digital calibration algorithm with variable amplitude dithering for domain-...
A digital calibration algorithm with variable amplitude dithering for domain-...A digital calibration algorithm with variable amplitude dithering for domain-...
A digital calibration algorithm with variable amplitude dithering for domain-...VLSICS Design
 
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...VLSICS Design
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE VLSICS Design
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master busVLSICS Design
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...VLSICS Design
 
Glitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsGlitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsVLSICS Design
 
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...VLSICS Design
 
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...VLSICS Design
 

Viewers also liked (19)

Cost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systemsCost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systems
 
Low power 16 channel data selector for bio-medical applications
Low power 16 channel data selector for bio-medical applications Low power 16 channel data selector for bio-medical applications
Low power 16 channel data selector for bio-medical applications
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI TransmitterDesign of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
Design of a Low-Power 1.65 GBPS Data Channel for HDMI Transmitter
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologies
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...
THE DESIGN OF A LOW POWER FLOATING GATE BASED PHASE FREQUENCY DETECTOR AND CH...
 
A new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuitsA new improved mcml logic for dpa resistant circuits
A new improved mcml logic for dpa resistant circuits
 
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...
SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVE...
 
Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpga
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
 
A digital calibration algorithm with variable amplitude dithering for domain-...
A digital calibration algorithm with variable amplitude dithering for domain-...A digital calibration algorithm with variable amplitude dithering for domain-...
A digital calibration algorithm with variable amplitude dithering for domain-...
 
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...
 
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
MITIGATION OF SOFT ERRORS ON 65NM COMBINATIONAL LOGIC GATES VIA BUFFER GATE
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master bus
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
 
Glitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital CircuitsGlitch Analysis and Reduction in Digital Circuits
Glitch Analysis and Reduction in Digital Circuits
 
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...
 
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...
DESIGN OF THREE BIT ANALOG-TO-DIGITAL CONVERTER (ADC) USING SPATIAL WAVEFUNCT...
 

Similar to FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILTER USED IN ECHO CANCELLATION

Performance evaluation of efficient structure for fir decimation filters usin...
Performance evaluation of efficient structure for fir decimation filters usin...Performance evaluation of efficient structure for fir decimation filters usin...
Performance evaluation of efficient structure for fir decimation filters usin...IAEME Publication
 
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...ijaia
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filtereSAT Publishing House
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter eSAT Journals
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
DSP_2018_FOEHU - Lec 05 - Digital Filters
DSP_2018_FOEHU - Lec 05 - Digital FiltersDSP_2018_FOEHU - Lec 05 - Digital Filters
DSP_2018_FOEHU - Lec 05 - Digital FiltersAmr E. Mohamed
 
Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...IJECEIAES
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLIJMER
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...VLSICS Design
 
Memory Based Hardware Efficient Implementation of FIR Filters
Memory Based Hardware Efficient Implementation of FIR FiltersMemory Based Hardware Efficient Implementation of FIR Filters
Memory Based Hardware Efficient Implementation of FIR FiltersDr.SHANTHI K.G
 
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayArea And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayEditor IJMTER
 
Design And Implementation of Combined Pipelining and Parallel Processing Arch...
Design And Implementation of Combined Pipelining and Parallel Processing Arch...Design And Implementation of Combined Pipelining and Parallel Processing Arch...
Design And Implementation of Combined Pipelining and Parallel Processing Arch...VLSICS Design
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...VLSICS Design
 

Similar to FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILTER USED IN ECHO CANCELLATION (20)

Efficient very large-scale integration architecture design of proportionate-...
Efficient very large-scale integration architecture design of  proportionate-...Efficient very large-scale integration architecture design of  proportionate-...
Efficient very large-scale integration architecture design of proportionate-...
 
Performance evaluation of efficient structure for fir decimation filters usin...
Performance evaluation of efficient structure for fir decimation filters usin...Performance evaluation of efficient structure for fir decimation filters usin...
Performance evaluation of efficient structure for fir decimation filters usin...
 
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
F1074145
F1074145F1074145
F1074145
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
D0341015020
D0341015020D0341015020
D0341015020
 
DSP_2018_FOEHU - Lec 05 - Digital Filters
DSP_2018_FOEHU - Lec 05 - Digital FiltersDSP_2018_FOEHU - Lec 05 - Digital Filters
DSP_2018_FOEHU - Lec 05 - Digital Filters
 
Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDL
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
 
Memory Based Hardware Efficient Implementation of FIR Filters
Memory Based Hardware Efficient Implementation of FIR FiltersMemory Based Hardware Efficient Implementation of FIR Filters
Memory Based Hardware Efficient Implementation of FIR Filters
 
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayArea And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
 
Z4301132136
Z4301132136Z4301132136
Z4301132136
 
Design And Implementation of Combined Pipelining and Parallel Processing Arch...
Design And Implementation of Combined Pipelining and Parallel Processing Arch...Design And Implementation of Combined Pipelining and Parallel Processing Arch...
Design And Implementation of Combined Pipelining and Parallel Processing Arch...
 
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
DESIGN AND IMPLEMENTATION OF COMBINED PIPELINING AND PARALLEL PROCESSING ARCH...
 

Recently uploaded

Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesZilliz
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 

Recently uploaded (20)

Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector Databases
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 

FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILTER USED IN ECHO CANCELLATION

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 DOI : 10.5121/vlsic.2016.7302 13 FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILTER USED IN ECHO CANCELLATION Pradnya Zode1 and Dr.A.Y.Deshmukh2 1 Research Scholar, Department of Electronics Engineering G.H.Raisoni College of Engineering, Nagpur 2 Professor, Department of Electronics Engineering G.H.Raisoni College of Engineering, Nagpur ABSTRACT Power consumption reduction is transpiring drift in area of VLSI digital signal processing. This gives rise to need of minimization of silicon area which is done by folding algorithm. As silicon area decreases power consumption of a circuit decreases. Folding is an algorithm which reduces silicon chip area by combining various arithmetic operations into one operation by time scheduling technique. It is applied on iterative data flow graph with appropriate folding set. Least mean square algorithm alters coefficients of Adaptive filter in order to achieve desired output. Proposed work is focused on design of efficient VLSI architecture for LMS adaptive filter aims at reducing mainly area which results in power consumption reduction and hardware complexity. LMS filter structure used here is called non-canonical as transpose FIR structure is used. Results show that numbers of adders are reduced by 37.5 % and multipliers by 33.33% without changing characteristics of filter. KEYWORDS VLSI signal processing, folding, cutset retiming, iterative DFG, non-canonical. 1. INTRODUCTION Today, every circuit has to face the power consumption issue, for both portable devices aiming at longer battery life and high-end circuits avoiding cooling packages and reliability issues that are too complex [1]. As a result, for any chip design, power consumption has to be taken into account very seriously. Earlier, only speed and silicon area were important in the design of integrated circuits, and power consumption was not an issue. Later, it was recognized that power consumption must be taken into account as a main design parameter. The increasing trend towards low power systems and high performance has forced researchers to come up with innovative design techniques which can achieve these objectives and meet the constricted system requirements. Again energy has always been a precious commodity. Smaller the size of gadgets, the lower is the energy requirements; this leads to the rise of minimization of the silicon area of the integrated circuits such as adders and multipliers. The performances and cost of any digital circuit depend on circuit design style. Therefore a careful choice of circuit design style is to be done for developing an architecture which has to establish optimal area-time-power trade-off.
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 14 Due to the rapid growth of communication systems, Digital Signal Processing (DSP) is one of the fastest growing fields in electronics industry [7]. DSP plays a critical role in modern computing, which is used in numerous applications such as video compression, digital set-top box, cable modems, digital versatile disk, data communications, wireless communications, telecommunications, image processing, voice recognition systems, portable systems/computers, multimedia, speech processing, ,digital radio, digital still and network cameras, speech processing, transmission systems, radar imaging, acoustic beam formers, global positioning systems, and biomedical signal processing. The term “digital signal processing” refers to continuous mathematical manipulation on data applied in real time. These algorithms include digital filters, adaptive filters, discrete cosine transform, inverse discrete cosine transform, Fast Fourier transform, convolution, correlation, and several others [3].In Digital Signal processing architectures, the folding algorithm is used to systematically determine the control circuits where multiple algorithm operations are time multiplexed to a single functional unit. This folding technique can be used for synthesis of Digital Signal processing architectures that can be operated using single or multiple clocks. In synthesizing DSP architectures, it is important to minimize the silicon area of the integrated circuits, which is achieved by reducing the number of functional units (such as multipliers and adders), registers, multiplexers, and interconnection wires. For example, executing N addition operations using a single adder [2]. This means executing multiple operations on a single functional unit and the number of functional units in the implementation is reduced, thus resulting with low silicon area in integrated circuits [2].In this paper folding algorithm is applied to a least mean square (LMS) adaptive filter. The digital filter is one of the fundamental processing elements in any digital signal processing (DSP) system. Digital filters are used in DSP applications that range from video and image processing to wireless communications. Filters are used to achieve desired spectral characteristics of a signal, to reject unwanted signals, like noise or interferers, to reduce the bit rate in signal transmission, etc. The idea behind filters adaptive is that the filer should change of alter filter coefficients to some algorithm or real time. Adaptive filter is a computational device that attempts to model the relationship between two signals in real time in an iterative manner [4]. Adaptive filters, because of their ability to operate satisfactorily in non-stationary environments, have become an important part of DSP applications where the statistics of the incoming signals are unknown or changing. Some examples are in channel equalization, echo cancellation or adaptive beamforming. Adaptive filters can adjust to unknown environment, and even track signal or system characteristics varying over time. The least mean squared error (LMS) algorithm is a most popular choice for the adaptive filter implementation as the LMS algorithm is very simple and effective [4]. In this paper, we proposed an efficient low-area, low power folded VLSI architecture for LMS adaptive filter. Folding is a technique to reduce the silicon area by time multiplexing many algorithm operations into single functional units, such as adders and multipliers [2, 5]. The LMS filter structure used in this paper is referred as non canonical LMS filter as the FIR filter block in the LMS filter structure is the transpose FIR structure. It is advantages to use the transpose FIR structure as it has less critical path compared to the standard DFG (Data Flow Graph). This paper is organized as follows. Review of LMS Adaptive Filter is given in section-2. Section- 3 addresses the importance of Data Flow Graph Model. Basic essentials of folding technique are described in section-4. Section-5 presents the folding transformation technique applied on LMS adaptive filter. Section-6 presents the implementations of original LMS adaptive filter and folded non canonical LMS adaptive filter and their results with respect to power and area. Finally some conclusions are given in Section-7. 2. REVIEW OF LMS ADAPTIVE FILTER Adaptive filter is a computational device that attempts to model the relationship between two signals in real time in an iterative manner [3]. The computational skill within adaptive filtering
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 15 are the algorithms used to calculate the updated filter weights. An adaptive filter is defined by four aspects: the signals being processed by the filter and the structure that defines how the output signal of the filter is computed from its input signal, the parameters within its structure that can be iteratively changed to alter the filter’s input-output relationship and the adaptive algorithm that describes the way how parameters are adjusted from one time, instant to the next. The general adaptive filter system is shown in figure 1. Figure 1. General adaptive filter system The LMS algorithm offers a very simplistic yet powerful approach; giving good performance under the right conditions [4].The LMS algorithm is a stochastic gradient algorithm which uses a fixed step-size parameter to control the updates to the tap weights of a transversal filter. The algorithm aims to minimize the mean-square error, the error being the difference in y (k) and d (k). It has been used in a wide range of applications because of its robustness and low computational complexity. It is iterative procedure involves computing the output of a Finite Impulse Response (FIR) filter produced by a set of filter coefficients and followed by the generation of an estimated error by comparing the filters output to a desired response and finally adjusting the filter coefficients based on the estimation error. An adaptive filtering is fundamentally based on discrete mathematics of least square minimization theory and least square is of course widely used in statistical analysis and virtually every branch of science and engineering. Digital filters weights are updated as some function of the error signal. [4] Aim of the Adaptive filter is to minimize the error signal and this depends on nature of input, length of Adaptive filter [4]. Adaptive algorithm x (k)=input signal y (k) = desired signal e (k)=Error signal d (k)=w(k)x(k) e (k) = d(k) – y(k) Weight update algorithm, w (k+1) = w(k) + 2µ e(k) x(k). (1) µ = step size 3. DATA-FLOW GRAPH MODEL Data flow graph is a type of graphical representation of digital signal processing algorithms. Graphical representations are efficient for finding out the data flow properties of DSP algorithms and the execution of different subtasks. It bridges the gap between algorithmic description and structural implementation of a DSP algorithm as a result it is easy to map DSP algorithms to hardware implementations. DFG is a conceptual view for expressing the function of a DSP system. DFGs are used for structural properties analysis and to find out architectural alternatives
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 16 using high level transformations like pipelining, parallel processing ,retiming ,folding, unfolding. In DFG representations the nodes represent computations (or functions or subtasks), while the directed edges represent data paths (data communications between nodes) and each edge has a nonnegative number of delays associated with it [2]. DSP algorithms are described by non- terminating programs which executes the same code repetitively. The DFG describes the data flow among subtasks or basic computations shown by nodes in a signal processing algorithm. The DFG for a 3-tap FIR filter is shown figure 2. Figure 2. DFG of a 3-tap FIR filter The DFG for LMS adaptive filter is shown in figure 3 which is used for further operations in this paper. The FIR filter structure block in the LMS filter structure is the transpose FIR structure. It is advantages to use the transpose FIR structure as it has less critical path compared to the standard DFG. Figure 3. LMS Adaptive filter DFG 4. BASIC ESSENTIALS OF FOLDING TECHNIQUE The folding transformation technique is introduced by K.K. Parhi which is described in [2]. A brief review of folding transformation is given here before applying it to LMS adaptive filter. The folding transformation is explained in Figure 4. a) And Figure 4. b). Figure 4 a) shows an edge from node U to node V with D weight i.e. the edge have w (e) delay value on it. Figure 4 b)
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 17 shows the corresponding folded edge. The functional source unit of node U is HU which is pipelined by PU stages must pass through delays given by DF (U V) = Nw(e) - PU + v – u (2) The edge switched at destination functional unit HV of node V at instance Nl+v, where N is a folding factor. It is defined as the number of operations folded to a single functional unit while u and v are the folding orders of nodes U and V respectively. The folding order of a node the time partition to which the node is scheduled to execute in hardware. A folding set, S, is defined as an ordered set of operations, which contains N entries, executed by the same functional unit. For a folded system to be possible, DF (U V) ≥ 0 must hold for all of the edges in the DFG. Once valid folding sets have been assigned, retiming can be used to satisfy this property or determine that the folding sets are not feasible [1]. (a) (b) Figure 4 (a) and (b) The main objective of this paper is to design LMS adaptive filter data flow graph shown in figure 2 using Folding Algorithm. This leads to reduction in execution time, silicon area and power consumption. Folding is a technique to reduce the silicon area by time multiplexing many operations into single functional units (such as adder and multiplier). The LMS adaptive data flow graph consists of adders, multipliers and delays. 5. FOLDING TRANSFORMATION TECHNIQUE The complete procedure for folding transformation technique is described stepwise below [2]. 1. Selection of appropriate folding order and accordingly folding set 2. Write the folding equation using DFG 3. If needed perform retiming for folding 4. Rewrite folding Equation 5. Using folding equation construct Lifetime table 6. Find out Lifetime chart and minimum number of registers 7. Carry out data allocation using forward and backward register allocation technique 8. Draw folded architecture
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 18 In this paper, the folding factor N=4 is selected for performing folding on LMS adaptive filter. For reducing the complexity here, we have divided the LMS DFG of figure 2 in two parts first the upper part is the FIR filter block shown in figure 5 and the below part is the filter weight adaptation block shown in figure 11. Figure 5. FIR filter block 5.1. Stepwise Folding of upper part FIR filter block Step 1: Select Folding order N=4 and folding sets M for multipliers and A for adders as M = {M0, M1, M2, M3} A = {A0, A1, A2, Ø} where Ø is the null operation. The folding set M for multipliers means a single multiplier in the folded architecture will perform 4 different operations depends on the order specified in folding set. Step 2: Write the Folding Equation for DFG in figure 4 using folding equation DF (U→V) = N w (e) – PU + v – u • DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = -2 • DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = -2 • DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = -2 • DF (M3 →A2) = 4 (2) – 2 + 2 – 3 = 1 • DF (A2 →A1) = 4 (1) – 1 + 1 – 2 = 2 • DF (A1 →A0) = 4 (1) – 1 + 0 – 1 = 2 Step 3: If needed perform Retiming for folding For proper folding none of the edge of the DFG should have negative delay value. As there are negative delays on some edges so have to perform retiming for folding on the same structure so that all edge delay should have positive value. Retiming is a transformation technique used to change the locations of delay elements in a circuit without affecting the input/output characteristics of the circuit [2]. Here cutest retiming is used which is a special case of retiming. DFG with cutest is shown in figure 6. The retimed DFG is shown in figure 7.
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 19 Figure 6. FIR filter DFG with cutset Figure 7. Retimed FIR filter DFG Step4: Rewrite Folding Equation • DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = 2 • DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = 2 • DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = 2 • DF (M3 →A2) = 4 (2) – 2 + 2 – 3 = 1 • DF (A2 →A1) = 4 (1) – 1 + 1 – 2 = 2 • DF (A1 →A0) = 4 (1) – 1 + 0 – 1 = 2 From the above folding equations it is clear that now all the edges in the DFG have positive value of delay. 5.2. Register minimization The folded structure may contain a more number of registers for storing the intermediate results. Therefore there is a need to minimize the number of registers used in the FIR filter architecture so that the silicon area occupied by the registers is to be minimized [2]. The procedure for computing the minimum number of registers and for allocating the data to these registers is as follows: Step5: Using folding equation construct Lifetime table The life period for each node is shown in Table 1, which is calculated using (3) and (4).
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 20 Tinput = u + Pu (3) Toutput = u + Pu + max v{DF (U→V)} (4) Table 1: Life time table for each node of the FIR filter Node Tinput Toutput Tinput → Toutput M0 0+2=2 2+2=4 2→4 M1 1+2=3 3+2=5 3→5 M2 2+2=4 4+2=6 4→6 M3 3+2=5 5+5=10 5→10 A0 -- -- -- A1 1+1=2 2+2=4 2→4 A2 2+1=3 3+2=5 3→5 Step 6: Find out Lifetime chart and minimum number of registers A linear lifetime chart for the FIR is shown in Fig.8, which graphically represent the lifetime of each variable in a linear fashion. A variable is said to be alive from the time it is produced until it is consumed [6]. After the sample is consumed, it is dead. A variable takes up one register when it is alive. In lifetime analysis, the number of live variables at any time unit is determined, which gives the minimum number of registers required to implement the FIR architecture.The linear lifetime chart shown in Figure 7 is based on [2], where each horizontal line represents a clock cycle and each vertical line represents the lifetime of a variable. From the lifetime chart, the minimum numbers of registers that can be used to implement the architecture of a FIR filter is the maximum number of live variables at any time step [6]. The minimum number of registers to implement the FIR is maximum of live variables =max {0, 1, 2, 3, 4} = 4. Figure 8. Lifetime chart for FIR filter
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 21 Step 7: Data allocation using forward backward register allocation technique The registers are denoted as R1, R2, R3, and R4 in the allocation table shown in Figure 9. The variables are allocated forward first and then to an appropriate backward register, thus the name “forward-backward” [6]. In this allocation technique, the registers are reused and dead variables are not stored. Figure 9. Register allocation table for FIR filter Step 8: Folded Architecture The architecture has drawn with the help of all above 7 steps results in a folded structure for the FIR filter is shown in figure 10. Figure 10. Folded Architecture of FIR filter 5.3. Stepwise folding of lower part of DFG i.e. weight update block Figure 10 shows the folded architecture of the upper part of the LMS adaptive filter. The final folded structure will be the combined folded structure of upper and lower structure of LMS filter.
  • 10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 22 The below process is folding process for the lower part of LMS i.e. weight update block DFG is shown in figure 11. Step1: Selection of appropriate Folding order and accordingly Folding Set Again Folding order, N=4 and Folding sets for multiplier and adder are M = {M0, M1, M2, M3} A = {A0, A1, A2, A3} Figure 11. LMS weight update block DFG Step 2: Write the Folding Equation using DFG • DF (M0 →A0) = 4 (0) – 2 + 0 – 0 = -2 • DF (M1→A1) = 4 (0) – 2 + 1 – 1 = -2 • DF (M2 →A2) = 4 (0) – 2 + 2 – 2 = -2 • DF (M3 →A3) = 4 (1) – 2 + 2 – 3 = -2 Step3: If needed perform Retiming for folding. As all edges have negative value of delay so cutset is applied to the DFG as shown in figure 12. Figure 12. LMS weight update block DFG with cutest Figure 13 shows the effect of cutest retiming on the above DFG.
  • 11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 23 Fig.13.Retimed LMS weight update block DFG Step4: Rewrite Folding Equation • DF (M0 →A0) = 4 (1) – 2 + 0 – 0 = 2 • DF (M1 →A1) = 4 (1) – 2 + 1 – 1 = 2 • DF (M2 →A2) = 4 (1) – 2 + 2 – 2 = 2 • DF (M3 →A3) = 4 (1) – 2 + 2 – 3 = 2 Step 5: Using above folding equation constructed Lifetime table is shown in table 2 Table 2. Life time table of LMS weight update block Node Tinput Toutput Tinput →Toutput M0 0+2=2 2+2=4 2→4 M1 1+2=3 3+2=5 3→5 M2 2+2=4 4+2=6 4→6 M3 3+2=5 5+2=7 5→7 Step 6: Find out Lifetime chart and minimum number of registers The lifetime chart for LMS weight update block is shown in figure 14 and the maximum number of registers needed for the implementation of folded architecture is maximum of live variables=max {0, 1, 2} = 2.
  • 12. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 24 Figure 14. Lifetime chart for LMS weight update block Step 7: Data allocation using the forward backward register allocation technique is shown in figure 15 [6]. Figure 15. Allocation table for LMS weight update block Step 8: Folded architecture for LMS weight update block is shown in figure 16. Figure 16.Folded Architecture for LMS weight update block Folded structure of FIR filter block and folded structure of weight update block are joined to form the complete LMS adaptive filter folded structure shown in figure 17.
  • 13. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 25 Figure 17. Final Folded Architecture of non canonical LMS adaptive filter 6. IMPLEMENTATION OF PROPOSED WORK AND RESULTS Table 3 shows a comparison between the conventional and folded non canonical LMS adaptive filter in terms of the number of functional units i.e. adders & multipliers and the number of registers. As shown, the folding transformation results in a lower number of functional units at the cost of a slight increase in the number of registers. Table 3. Comparison between the conventional and folded LMS adaptive filter No. of functional units No. of registers Adders Multipliers Conventional 8 9 10 Folded 3 3 13 It is seen that the number of adders are reduced by 37.5 % and multipliers by 33.33%. This result definitely shows the reduction in silicon area as per objective and hence the power consumption is also reduced. 6.1. Device utilization comparison of original DFG and folded DFG The original and folded LMS adaptive filter is modelled in Verilog HDL and synthesized using ISE-Xilinx tool. Both the designs were synthesized using Spartan FPGA and the results are shown in the table 4. Table 4. Synthesis result of conventional and proposed folded DFG architecture Parameter Conventional Folded Power 0.017W 0.011W Delay 9.655ns 11.403ns Power-delay product 0.164135 0.125433 frequency 103.572MHz 80.625MHz
  • 14. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 26 Main focus of this paper is to design a filter which can be used in telecommunication for echo cancellation using LMS Adaptive algorithm. The echo cancellation period of unfolded LMS structure with folded one is compared and shown in fig.18.An audio dot wave file is called in software MATLAB and noise is added to this file as shown in fig.18. 2048 output samples are generated which are stored in a do file. This do file is given as an input the Verilog coding and simulated. Output text file is generated which is given to the MATLAB programming and the samples are plotted as shown in figure 18. Figure 18. Echo cancellation output 7. CONCLUSION In this work, a suitable non canonical LMS adaptive filer was realized in a digital environment using Xilinx ISE tool. This implemented digital filter can be used in telecommunication for echo cancellation. Our results show that the folded architecture of LMS adaptive filter significantly reduces the area of the filter, but there is a trade-off with the delay. Again the power consumption is reduced by 35%. Folding transformation reduces the number of hardware functional units by factor N at the expense of increasing the computation time by a factor of N. Here, folding factor = 4 means that the iteration period of folded hardware is 4 unit time means each node in filter is executed exactly once every 4unit time in folded structure. REFERENCES [1] Christian Piguet, History of Low-Power Electronics, CRC Press, 2005 [2] K. K. Parhi, VLSI Digital Signal Processing Systems: Design and Implementation. Hoboken, NJ, USA: Wiley, 1999. [3] V. Oppenheim, R. W. Schafer, and J. R. Buck, Discrete-time signal processing. Prentice-hall Englewood Cliffs, 1989. [4] S.Haykin, Adaptive Filter Theory. Prentice Hall, 1991
  • 15. International Journal of VLSI design & Communication Systems (VLSICS) Vol.7, No.3, June 2016 27 [5] K. K. Parhi, C. Y.Wang, and A. P. Brown, “Synthesis of control circuits in folded pipelined DSP architectures,” IEEE J. Solid-State Circuits, vol. 27,no. 1, pp. 29–43, Jan. 1992. [6] Rajalakshmi Karuppuswamy, Kandaswamy Arumugam, and Swathi Priya M.” Folded Architecture for Digital Gammatone Filter Used in Speech Processor of Cochlear Implant “ETRI Journal, Volume 35, Number 4, August 2013 [7] Keshab K. Parhi, “Verifying Equivalence of Digital Signal Processing Circuits” Asilomar 2012. AUTHORS Pradnya Zode received B.E. (Electronics & Telecommunication) & M.Tech. (VLSI Design) Degrees from the RTM Nagpur University in 2001 and 2006 respectively. Currently working towards the Ph.D. from RTM Nagpur University, Nagpur She is currently working as Assistant Professor at Electronics Engineering Department of Yeshwantrao Chavan College of Engineering, Nagpur. She is Associate Member of Institution of Engineers (India) and Life Member of Indian Society for Technical Education. Her main interests include the design of Low-power VLSI Signal Processing. Amol Deshmukh received M.E.(Electronics) from the SGGSIET, Nanded and PhD from VNIT, Nagpur in 2001 and 2010 respectively. He is currently Deputy Director and Dean Placements at G.H. Raisoni College of Engineering, Nagpur. He is Technical Committee Member of IEEE Soft Computing, USA & Senior Member- IEEE. His main interests include the Low Power VLSI Design, Embedded System Design and Low-power VLSI Signal Processing. He has also worked as Coordinator TEQIP-II (World Bank Assistance Project). He has to his credit around 100 plus International Conference and Journal Publications. He has also worked as International Co-Chair for ICETET-08, ICETET-09, ICETET-10, ICETET-11, ICETET-12, ICETET-13 (International Conference on Emerging Trends in Engineering & Technology). He has filed 02 Patents. He has received a research grant of Rs.7.37 Lacs from AICTE under RPS.