SlideShare a Scribd company logo
1 of 28
Download to read offline
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 1 of 31
A 64Gb/s PAM-4 Transmitter with 4-Tap
FFE and 2.26pJ/b Energy Efficiency in
28nm CMOS FDSOI
G. Steffan1, E. Depaoli1, E. Monaco1,
N. Sabatino1, W. Audoglio1, A. A. Rossi1,
S. Erba1, M. Bassi2, A. Mazzanti2
1 STMicroelectronics, Pavia, Italy
2 Università degli Studi di Pavia, Pavia, Italy
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 2 of 31
Outline
• Motivation
• Proposed TX Architecture
• Reconfigurable FFE
• Output Driver
• High-Speed Serializer
• Clock Generation
• Measurement Results
• Conclusions
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 3 of 31
Network Traffic: Growth and Challenges
72,5
88,7
108,5
132,1
160,6
194,4
0
50
100
150
200
2015 2016 2017 2018 2019 2020
ExabytesperMonth
Challenges
• Gate count increases faster than I/O speed
• Power dissipation, rather than technology and routing, mostly limits max I/O density
• Increasing data rate at > 25Gb/s increases link losses and power consumption
PAM-4 Modulation
• Helps maintain loss budget by decreasing Nyquist frequency
• SNR degradation can be recovered by using FEC
3x
[Cisco, The Zettabyte Era: Trends and Analysis]
[OIF-FD-Client-400G/1T-01.0 White Paper]
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 4 of 31
High-Speed PAM-4/NRZ TX Design
High output amplitude
and linearity – to
preserve SNR and H/V
opening
Very high bandwidth –
to speed-up non-
adjacent level
transitions
Reconfigurable FFE –
to be compliant with
several standards
PAM-4/NRZ high/low
speed modes – for
auto-negotiation and
substitution of legacy
components
Precise and reliable
serialization with low
power
Challenges
PAM-4 PAM-4
PAM-4/NRZ
PAM-4/NRZ PAM-4/NRZ
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 5 of 31
8:4
8:4
8:4
8:4
8:4
8:4
8:4
8:4
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
LSB
MSB
40b
FFEL
Vdd,CMOS
MM
ML
FFEM
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4x8b 4x4b
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
8b
C-2 C-1
C0
C1
C2
40:8
C-2 C-1
C0
C1
C2
40:8
5x8b
40b
4x8b 4x4b
8b
5x8b
TX Block Diagram
• Shift-registers delay 8bit bundles and generate five C[-2:2] FFE data-streams
• MUXs MM and ML enable C[-2:2] selection
• In PAM-4 mode, up to 4 FFE taps
• In NRZ mode, 40b LSB/MSB data is merged, but MM and ML can still be operated
independently to provide up to 5 FFE taps
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 6 of 31
TX Block Diagram
6
12
24
6
3
6
12
3
OutputNetwork
Vdd,DR
24
48
8:4
8:4
8:4
8:4
8:4
8:4
8:4
8:4
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
LSB
MSB
40b
FFEL
Vdd,CMOS
MM
ML
FFEM
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4x8b 4x4b
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
8b
C-2 C-1
C0
C1
C2
40:8
C-2 C-1
C0
C1
C2
40:8
5x8b
40b
4x8b 4x4b
8b
5x8b
• Output driver is composed of 72 elements
• 24 driver elements are driven by LSB data, 48 by MSB data
• Dedicated voltage supply Vdd,DR=1.2V
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 7 of 31
TX Block Diagram
6
12
24
6
3
6
12
3
OutputNetwork
Vdd,DR
24
48
8:4
8:4
8:4
8:4
8:4
8:4
8:4
8:4
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
LSB
MSB
40b
FFEL
Vdd,CMOS
MM
ML
FFEM
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4:1
4x8b 4x4b
C-2 C-1 C0
C-2 C-1 C0
C0 C1 C2
C0 C1 C2
8b
C-2 C-1
C0
C1
C2
40:8
C-2 C-1
C0
C1
C2
40:8
5x8b
40b
4x8b 4x4b
8b
5x8b
REF CK
÷2÷4/5
I/Q
Generation
CK4-I
CK4-Q
PLL
2-8GHz
• PLL generates 2-8GHz clock signal
• High precision I/Q signals generator feeds the 40:1 serializer
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 8 of 31
Reconfigurable TX FFE
• At Full-Speed, it provides up to 4
FFE tap in PAM-4 mode and 5 tap in
NRZ mode, meeting OIF CEI 56Gb/s
MR and 28Gb/s KP4 standards
• At Half-Speed, data is oversampled
and [C-2 ,C2] are mapped as 1-
Pre/Post cursor, respectively,
meeting 10Gb/s KR10 and 8.5Gb/s
PCI Exp-3
• At Quarter-Speed, C2 is mapped as
1-Postcursor while C-2:1 are all set to
the Main cursor. This configurations
is compliant with 2.5Gb/s PCI-Exp1
FFEL
3
6
12
3C-1
C-2
C0
C-1
C-2
C0
C1
C0
C2
C1
C0
C2
C2 C1
C0
C-1
C-2 C-2
C-1
C0
C1
C2
6
12
24
6C-1
C-2
C0
C-1
C-2
C0
C1
C0
C2
C1
C0
C2
C2 C1
C0
C-1
C-2 C-2
C-1
C0
C1
C2
FFEM
OutputNetwork
LSB
MSB
-21/72
-3/24
-21/72
-21/72
12/24
36/72
36/72
-9/24
-36/72
-36/72
-9/24
-36/72
2-PRE 1-PRE MAIN 1-POST 2-POST
45/72 -27/72
PAM-4 FS
NRZ FS
NRZ HS
NRZ QS
Coefficients Minimum Normalized Amplitude
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 9 of 31
State-of-the-art PAM-4 Output Drivers
• Hybrid voltage/current driver
• Very good linearity and high output
amplitude with 1V supply
• Bandwidth limited by increased load
• Low FFE programmability
• Pure current mode driver
• Simple implementation, high
bandwidth
• Two supply domain and need of level
shifter operating at output symbol rate
• High FFE programmability
[Bassi et al., ISSCC 2016, JSSC 2017] [Nazemi et al., ISSCC 2016]
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 10 of 31
Proposed Current Mode Driver
• InN and InP CMOS-level input data streams from serializer
• Gate voltages of MC1,2 current sources are constant, set by replica bias based on
desired output swing Vref
• When output node is high, MC1,2 source is pulled to Vdd,CMOS, relaxing reliability
constraints and allowing the use of thin oxide devices
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 11 of 31
Output Network
• 200V MM / 500V CDM, >>2kV HBM ESDs
• Driver capacitance is comparable with ESD
capacitance
• Double T-coil network enhances bandwidth
by 1.5 and improves impedance matching
at high frequency
Driver
Resistor
Load
BankESD
OutP
Coil #1
Coil #2
ESD
OutN
Coil #1
Coil #2
CBUMPCESDCDRIVERCLOAD
Coil #2Coil #1
-9
-6
-3
0
0 10 20 30
TF[dB]
Frequency [GHz]
With Coils
Without Coils
-30
-20
-10
0
0 10 20 30
ReturnLoss[dB]
Frequency [GHz]
With Coils
Without Coils
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 12 of 31
High-Speed Serializer Architectures
Half-rate architecture
• tBIT > tSetup + tMUX + tDIV – tD
• Low CPAR load of half-rate architecture
leads to very fast commutations
Quarter-rate architecture
• tBIT > tSetup + tMUX – tPULSE
• Higher CPAR load of quarter-rate
architecture leads to increased ISI
 Propagating clock forward relaxes serializer timing constraints
 Low load highly desirable to limit ISI
CK4-I CK4-Q
tMUX
tDIV
CK2
B0
B1
OUT
4:2
2:1
CPAR
D0
D1
D2
D3
CK4-I
CK4-Q
CK4-I
CK4-Q
FF
FF
FF
FF
tD
/2
CK4-I
CK4-Q
SEL<3:0>
tPULSE
D0
D1
D2
D3
CK4-I
CK4-Q
SEL<0>
SEL<1>
SEL<2>
SEL<3>CK4-I
CK4-Q
tMUX
FF
FF
FF
FF
2xCPAR
OUT
4:1
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 13 of 31
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 14 of 31
2
4
6
8
10
12
14
16
18
10 20 30 40 50
JitterPk-Pk[ps]
Symbol Rate[Gsym/s]
Traditional 4:1 Mux
Proposed 4:1 Mux
Proposed MUX Architecture
• MUX 4:2 based on pass-gate to save power and guarantee tMUX > tMULT to respect
hold-time constraints
• NAND-based frequency doubler generates half rate clock for the last 2:1 MUX
• At 32 Gsym/s the Pk-Pk jitter on output node is reduce by 1.3 compared to a traditional
direct 4:1 MUX
CK4-I
CK4-Q
X2
tMUX
tMULT
CK2
B0
B1
D0
D2
D1
D3
OUT
4:2 2:1
CK4-IP/N
CK4-QP/P
CK4-IN/P
CK4-QN/N
CK2P/N
X2
B0
CK2P
B1
CK2N
OUT
2:1
LAT
D0/D1
D2/D3
CK4-I/CK4-Q
B0/B1
4:2
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 15 of 31
Effects of I/Q Mismatches
• I/Q mismatches on quarter-rate clocks creates DCD on half-rate clock
• I/Q phase difference must be lower than 1.4°
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 16 of 31
Effects of I/Q Duty-Cycle Distortion
• DCD on quarter-rate I/Q clocks translates to DCD on half-rate clocks with period of 4UI
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 17 of 31
Clock Generation Tree
• Integer-N type PLL with two VCOs and output divider to generate
2-8GHz master clock
• Injection-Locking Ring Oscillator provides high-accuracy 8 phases against
PVTs
• Phase rotators interpolate 8 π/4-spaced phases to improve DNL and INL
• Quarter-rate clocks fed to serializer after DCC circuit
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 18 of 31
Injection Locked Ring Oscillator
Supply voltage [V]
Temperature [°C]
Quadraturephaseerror
[°]
-40 0 40 80 120
8
4
0
-4
-8
0.8 0.9 1.0 1.1 1.2
a
Quadraturephaseerror
[°]
8
4
0
-4
-8
No calibration
Analog calibration ON
Analog + digital calibration
b
No calibration
Analog calibration ON
Analog + digital calibration
• A phase detector based on passive mixers measures the quadrature error and continuously
tunes the oscillator Vtune for fine phase correction
• Concurrently, a window comparator monitors Vtune and drives digital coarse calibration in
background.
• The quadrature phase error is kept lower than 1.5º when supply and temperature variations
are between [0.9V, 1.2V] and [-40ºC, 120ºC]
preset
Locking
Signal
up
down
vTH
vTL
clkLF
logiclogicregister
FrequencyCode
Vtune
Buffer
Digital Loop
Analog Loop
fIN=8GHz
[Anzalone et al., ESSCIRC 2016]
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 19 of 31
Phase Rotator
• Phase Rotators consists of four slices driven by the ILRO outputs
• Each slice consists of 32 differential pair thermometric weighted to reduce switching
glitches and guarantee the monotonicity of the output phase
• At 11GHz, the maximum DNL and INL are 0.5 and 1 LSB, respectively
`
ϕ1=135º,ϕ2=180º
`
ϕ1=90º,ϕ2=135º
`
ϕ1=45º,ϕ2=90º
`
ϕ1=0º,ϕ2=45º
<0>
<15>
<0>
<15>
ϕ1P ϕ1N ϕ2P ϕ2N
1
0
-1
DNL[LSB]
0
-1
INL[LSB]
0.5
-0.5
1
2
-2
-3
-4
Code
0 32 64 96 128
= 2GHz with AQC = 11GHz with AQC = 11GHz without AQC
fIN=11GHz from ext
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 20 of 31
DCD Correction Circuit
• PMOS and NMOS switches operates
independently
• Two 7 bit thermometric code to avoid
glitches and guarantee the monotonicity
of the correction
• DCD correction circuit capability equal to
±1.5% at 8GHz
INP,N OUTN,P
SELP<6:0>P,N
SELN<6:0>P,N
-2
-1
0
1
2
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14
DCD@8GHz[%] CODE
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 21 of 31
Chip Photo and Power Break-Down
• 10ML CMOS 28nm FDSOI CMOS from STMicroelectronics
• Chips encapsulated in flip-chip BGA packages
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 22 of 31
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 23 of 31
Output Eyes at 28/56 Gb/s
0.84UI 0.73V
0.48UI
0.18V
• FIR setting:
[C-1 C0 C1]=[-1/24 18/24 -3/24]
• Vertical opening: 0.18V
• Horizontal opening: 0.48UI
• FIR setting:
[C-1 C0 C1]=[-1/24 18/24 -3/24]
• Vertical opening: 0.73V
• Horizontal opening: 0.84UI
PRBS-9 @ 28Gb/s QPRBS-13 @ 56Gb/s
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 24 of 31
Output Eyes at 32/64 Gb/s
0.75UI 0.6V
0.36UI
0.14V
PRBS-9 @ 32Gb/s QPRBS-13 @ 64Gb/s
• FIR setting:
[C-1 C0 C1]=[-1/24 18/24 -3/24]
• Vertical opening: 0.14V
• Horizontal opening: 0.36UI
• FIR setting:
[C-1 C0 C1]=[-1/24 18/24 -3/24]
• Vertical opening: 0.6V
• Horizontal opening: 0.75UI
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 25 of 31
S22 and PLL Phase Noise
• Return loss better than the mask limit with margin
• Jitter of the clock is estimated by integrating phase noise starting from
500kHz offset
• The random jitter integrated up to 8GHz is 290fs
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 26 of 31
Comparison with State of Art
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 27 of 31
Conclusions
• Delivering high TX amplitude while preserving linearity and large
bandwidth is key for high-speed PAM-4 transmitters
• A new output driver allows high swing and good linearity with increased
supply while still employing thin-oxide devices operated reliably
• A smart FFE structure is proposed for back-compatibility with legacy
standards
• Measurements test chips realized in 28nm CMOS FDSOI technology by
STMicroelectronics prove the effectiveness of the proposed TX
6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI
© 2017 IEEE
International Solid-State Circuits Conference 28 of 31
Acknowledgement
• The authors are thankful to Dr. Guido Albasini, Daniele Baldi and Dr.
Davide Sanzogni and the layout team for their contributions

More Related Content

What's hot

Switched capacitor
Switched capacitorSwitched capacitor
Switched capacitorGur Kan
 
Cwte_Wi-Fii6-presentation_dec_7_2021
Cwte_Wi-Fii6-presentation_dec_7_2021Cwte_Wi-Fii6-presentation_dec_7_2021
Cwte_Wi-Fii6-presentation_dec_7_2021Mayur Sarode
 
Angle modulation
Angle modulationAngle modulation
Angle modulationggpriya me
 
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...Waqas Afzal
 
Lecture 5 formateo de señales analógicas
Lecture 5 formateo de señales analógicasLecture 5 formateo de señales analógicas
Lecture 5 formateo de señales analógicasnica2009
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsAnsys
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersGrace Abraham
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GLeah Wilkinson
 
Cadence Design Flow.pptx
Cadence Design Flow.pptxCadence Design Flow.pptx
Cadence Design Flow.pptxKunjPatel615667
 
Teoria de la informacion
Teoria de la informacionTeoria de la informacion
Teoria de la informacionGalafardo Gala
 
ADS Workshop on PCI Express(r)
ADS Workshop on PCI Express(r)ADS Workshop on PCI Express(r)
ADS Workshop on PCI Express(r)Colin Warwick
 
Minimum-Bandwidth Nyquist-Rate Signaling
Minimum-Bandwidth Nyquist-Rate SignalingMinimum-Bandwidth Nyquist-Rate Signaling
Minimum-Bandwidth Nyquist-Rate SignalingDaeYoung (DY) KIM
 
Methods for Reducing the Activity Switching Factor
Methods for Reducing the Activity Switching FactorMethods for Reducing the Activity Switching Factor
Methods for Reducing the Activity Switching FactorIJERD Editor
 

What's hot (20)

Baseband Digital Data Transmission
Baseband Digital Data TransmissionBaseband Digital Data Transmission
Baseband Digital Data Transmission
 
Switched capacitor
Switched capacitorSwitched capacitor
Switched capacitor
 
Cwte_Wi-Fii6-presentation_dec_7_2021
Cwte_Wi-Fii6-presentation_dec_7_2021Cwte_Wi-Fii6-presentation_dec_7_2021
Cwte_Wi-Fii6-presentation_dec_7_2021
 
Angle modulation
Angle modulationAngle modulation
Angle modulation
 
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...
Pulse modulation, Pulse Amplitude (PAM), Pulse Width (PWM/PLM/PDM), Pulse Pos...
 
Lecture 5 formateo de señales analógicas
Lecture 5 formateo de señales analógicasLecture 5 formateo de señales analógicas
Lecture 5 formateo de señales analógicas
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiers
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
 
Cadence Design Flow.pptx
Cadence Design Flow.pptxCadence Design Flow.pptx
Cadence Design Flow.pptx
 
Teoria de la informacion
Teoria de la informacionTeoria de la informacion
Teoria de la informacion
 
Modulacion pwm
Modulacion pwmModulacion pwm
Modulacion pwm
 
ADS Workshop on PCI Express(r)
ADS Workshop on PCI Express(r)ADS Workshop on PCI Express(r)
ADS Workshop on PCI Express(r)
 
Minimum-Bandwidth Nyquist-Rate Signaling
Minimum-Bandwidth Nyquist-Rate SignalingMinimum-Bandwidth Nyquist-Rate Signaling
Minimum-Bandwidth Nyquist-Rate Signaling
 
Unit-4_ESE.pdf
Unit-4_ESE.pdfUnit-4_ESE.pdf
Unit-4_ESE.pdf
 
U5 t10 buses de campo ici
U5 t10 buses de campo  iciU5 t10 buses de campo  ici
U5 t10 buses de campo ici
 
PLL Note
PLL NotePLL Note
PLL Note
 
Afib guidelines
Afib guidelinesAfib guidelines
Afib guidelines
 
Tema 4 codificación de canal
Tema 4   codificación de canalTema 4   codificación de canal
Tema 4 codificación de canal
 
Methods for Reducing the Activity Switching Factor
Methods for Reducing the Activity Switching FactorMethods for Reducing the Activity Switching Factor
Methods for Reducing the Activity Switching Factor
 

Similar to A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

ENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency ApplicationsENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency ApplicationsDeborah Porchivina
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...Allen He
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...Allen He
 
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICSJayani Technologies Ltd
 
LTE-Advanced Physical Layer
LTE-Advanced Physical LayerLTE-Advanced Physical Layer
LTE-Advanced Physical LayerPraveen Kumar
 
Flexibly Scalable High Performance Architectures with Embedded Photonics
Flexibly Scalable High Performance Architectures with Embedded PhotonicsFlexibly Scalable High Performance Architectures with Embedded Photonics
Flexibly Scalable High Performance Architectures with Embedded Photonicsinside-BigData.com
 
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...Sematron UK Ltd
 
Athens Standard &amp; Technical
Athens Standard &amp; TechnicalAthens Standard &amp; Technical
Athens Standard &amp; TechnicalGianluca Musetti
 
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v10020190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100M3L Inc.
 
Tomorrow’s Data Centre, Today
Tomorrow’s Data Centre, TodayTomorrow’s Data Centre, Today
Tomorrow’s Data Centre, TodayPanduit
 
Catalyst Backbone and Instant Access Technologies
Catalyst Backbone and Instant Access TechnologiesCatalyst Backbone and Instant Access Technologies
Catalyst Backbone and Instant Access TechnologiesCisco Russia
 
Shenzhen Hifibercom Technology Co.,Ltd Qsfp28 CWDM4 optical module
Shenzhen Hifibercom Technology Co.,Ltd  Qsfp28 CWDM4 optical moduleShenzhen Hifibercom Technology Co.,Ltd  Qsfp28 CWDM4 optical module
Shenzhen Hifibercom Technology Co.,Ltd Qsfp28 CWDM4 optical moduleWendyXia8
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationDeborah Porchivina
 
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...Allen He
 
P89 lpc920 921_922_9221
P89 lpc920 921_922_9221P89 lpc920 921_922_9221
P89 lpc920 921_922_9221trieuvantam
 

Similar to A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI (20)

ECOC Panel on OIF CEI 56G
ECOC Panel on OIF CEI 56GECOC Panel on OIF CEI 56G
ECOC Panel on OIF CEI 56G
 
400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide
 
ENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency ApplicationsENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency Applications
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
 
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS
100G QSFP28 Optical Transceiver Data Sheet By JTOPTICS
 
LTE-Advanced Physical Layer
LTE-Advanced Physical LayerLTE-Advanced Physical Layer
LTE-Advanced Physical Layer
 
To HDR and Beyond
To HDR and BeyondTo HDR and Beyond
To HDR and Beyond
 
Flexibly Scalable High Performance Architectures with Embedded Photonics
Flexibly Scalable High Performance Architectures with Embedded PhotonicsFlexibly Scalable High Performance Architectures with Embedded Photonics
Flexibly Scalable High Performance Architectures with Embedded Photonics
 
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...
Newtec - Optimisation of Satellite Capacity Efficiency for IP Trunking Applic...
 
Athens Standard &amp; Technical
Athens Standard &amp; TechnicalAthens Standard &amp; Technical
Athens Standard &amp; Technical
 
Newtec FlexACM
Newtec FlexACMNewtec FlexACM
Newtec FlexACM
 
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v10020190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100
20190409 ip showcase-nab19_m3_l-currentstatus-st2110-over-25gbe_v100
 
Tomorrow’s Data Centre, Today
Tomorrow’s Data Centre, TodayTomorrow’s Data Centre, Today
Tomorrow’s Data Centre, Today
 
Catalyst Backbone and Instant Access Technologies
Catalyst Backbone and Instant Access TechnologiesCatalyst Backbone and Instant Access Technologies
Catalyst Backbone and Instant Access Technologies
 
Shenzhen Hifibercom Technology Co.,Ltd Qsfp28 CWDM4 optical module
Shenzhen Hifibercom Technology Co.,Ltd  Qsfp28 CWDM4 optical moduleShenzhen Hifibercom Technology Co.,Ltd  Qsfp28 CWDM4 optical module
Shenzhen Hifibercom Technology Co.,Ltd Qsfp28 CWDM4 optical module
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture Presentation
 
Sushant
SushantSushant
Sushant
 
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...
10Gb/s DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU Grid...
 
P89 lpc920 921_922_9221
P89 lpc920 921_922_9221P89 lpc920 921_922_9221
P89 lpc920 921_922_9221
 

Recently uploaded

Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersMairaAshraf6
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...drmkjayanthikannan
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"mphochane1998
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxMuhammadAsimMuhammad6
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityMorshed Ahmed Rahath
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxchumtiyababu
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdfKamal Acharya
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdfKamal Acharya
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsvanyagupta248
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxmaisarahman1
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Servicemeghakumariji156
 

Recently uploaded (20)

Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

  • 1. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 1 of 31 A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI G. Steffan1, E. Depaoli1, E. Monaco1, N. Sabatino1, W. Audoglio1, A. A. Rossi1, S. Erba1, M. Bassi2, A. Mazzanti2 1 STMicroelectronics, Pavia, Italy 2 Università degli Studi di Pavia, Pavia, Italy
  • 2. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 2 of 31 Outline • Motivation • Proposed TX Architecture • Reconfigurable FFE • Output Driver • High-Speed Serializer • Clock Generation • Measurement Results • Conclusions
  • 3. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 3 of 31 Network Traffic: Growth and Challenges 72,5 88,7 108,5 132,1 160,6 194,4 0 50 100 150 200 2015 2016 2017 2018 2019 2020 ExabytesperMonth Challenges • Gate count increases faster than I/O speed • Power dissipation, rather than technology and routing, mostly limits max I/O density • Increasing data rate at > 25Gb/s increases link losses and power consumption PAM-4 Modulation • Helps maintain loss budget by decreasing Nyquist frequency • SNR degradation can be recovered by using FEC 3x [Cisco, The Zettabyte Era: Trends and Analysis] [OIF-FD-Client-400G/1T-01.0 White Paper]
  • 4. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 4 of 31 High-Speed PAM-4/NRZ TX Design High output amplitude and linearity – to preserve SNR and H/V opening Very high bandwidth – to speed-up non- adjacent level transitions Reconfigurable FFE – to be compliant with several standards PAM-4/NRZ high/low speed modes – for auto-negotiation and substitution of legacy components Precise and reliable serialization with low power Challenges PAM-4 PAM-4 PAM-4/NRZ PAM-4/NRZ PAM-4/NRZ
  • 5. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 5 of 31 8:4 8:4 8:4 8:4 8:4 8:4 8:4 8:4 C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 LSB MSB 40b FFEL Vdd,CMOS MM ML FFEM 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4x8b 4x4b C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 8b C-2 C-1 C0 C1 C2 40:8 C-2 C-1 C0 C1 C2 40:8 5x8b 40b 4x8b 4x4b 8b 5x8b TX Block Diagram • Shift-registers delay 8bit bundles and generate five C[-2:2] FFE data-streams • MUXs MM and ML enable C[-2:2] selection • In PAM-4 mode, up to 4 FFE taps • In NRZ mode, 40b LSB/MSB data is merged, but MM and ML can still be operated independently to provide up to 5 FFE taps
  • 6. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 6 of 31 TX Block Diagram 6 12 24 6 3 6 12 3 OutputNetwork Vdd,DR 24 48 8:4 8:4 8:4 8:4 8:4 8:4 8:4 8:4 C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 LSB MSB 40b FFEL Vdd,CMOS MM ML FFEM 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4x8b 4x4b C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 8b C-2 C-1 C0 C1 C2 40:8 C-2 C-1 C0 C1 C2 40:8 5x8b 40b 4x8b 4x4b 8b 5x8b • Output driver is composed of 72 elements • 24 driver elements are driven by LSB data, 48 by MSB data • Dedicated voltage supply Vdd,DR=1.2V
  • 7. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 7 of 31 TX Block Diagram 6 12 24 6 3 6 12 3 OutputNetwork Vdd,DR 24 48 8:4 8:4 8:4 8:4 8:4 8:4 8:4 8:4 C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 LSB MSB 40b FFEL Vdd,CMOS MM ML FFEM 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4:1 4x8b 4x4b C-2 C-1 C0 C-2 C-1 C0 C0 C1 C2 C0 C1 C2 8b C-2 C-1 C0 C1 C2 40:8 C-2 C-1 C0 C1 C2 40:8 5x8b 40b 4x8b 4x4b 8b 5x8b REF CK ÷2÷4/5 I/Q Generation CK4-I CK4-Q PLL 2-8GHz • PLL generates 2-8GHz clock signal • High precision I/Q signals generator feeds the 40:1 serializer
  • 8. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 8 of 31 Reconfigurable TX FFE • At Full-Speed, it provides up to 4 FFE tap in PAM-4 mode and 5 tap in NRZ mode, meeting OIF CEI 56Gb/s MR and 28Gb/s KP4 standards • At Half-Speed, data is oversampled and [C-2 ,C2] are mapped as 1- Pre/Post cursor, respectively, meeting 10Gb/s KR10 and 8.5Gb/s PCI Exp-3 • At Quarter-Speed, C2 is mapped as 1-Postcursor while C-2:1 are all set to the Main cursor. This configurations is compliant with 2.5Gb/s PCI-Exp1 FFEL 3 6 12 3C-1 C-2 C0 C-1 C-2 C0 C1 C0 C2 C1 C0 C2 C2 C1 C0 C-1 C-2 C-2 C-1 C0 C1 C2 6 12 24 6C-1 C-2 C0 C-1 C-2 C0 C1 C0 C2 C1 C0 C2 C2 C1 C0 C-1 C-2 C-2 C-1 C0 C1 C2 FFEM OutputNetwork LSB MSB -21/72 -3/24 -21/72 -21/72 12/24 36/72 36/72 -9/24 -36/72 -36/72 -9/24 -36/72 2-PRE 1-PRE MAIN 1-POST 2-POST 45/72 -27/72 PAM-4 FS NRZ FS NRZ HS NRZ QS Coefficients Minimum Normalized Amplitude
  • 9. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 9 of 31 State-of-the-art PAM-4 Output Drivers • Hybrid voltage/current driver • Very good linearity and high output amplitude with 1V supply • Bandwidth limited by increased load • Low FFE programmability • Pure current mode driver • Simple implementation, high bandwidth • Two supply domain and need of level shifter operating at output symbol rate • High FFE programmability [Bassi et al., ISSCC 2016, JSSC 2017] [Nazemi et al., ISSCC 2016]
  • 10. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 10 of 31 Proposed Current Mode Driver • InN and InP CMOS-level input data streams from serializer • Gate voltages of MC1,2 current sources are constant, set by replica bias based on desired output swing Vref • When output node is high, MC1,2 source is pulled to Vdd,CMOS, relaxing reliability constraints and allowing the use of thin oxide devices
  • 11. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 11 of 31 Output Network • 200V MM / 500V CDM, >>2kV HBM ESDs • Driver capacitance is comparable with ESD capacitance • Double T-coil network enhances bandwidth by 1.5 and improves impedance matching at high frequency Driver Resistor Load BankESD OutP Coil #1 Coil #2 ESD OutN Coil #1 Coil #2 CBUMPCESDCDRIVERCLOAD Coil #2Coil #1 -9 -6 -3 0 0 10 20 30 TF[dB] Frequency [GHz] With Coils Without Coils -30 -20 -10 0 0 10 20 30 ReturnLoss[dB] Frequency [GHz] With Coils Without Coils
  • 12. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 12 of 31 High-Speed Serializer Architectures Half-rate architecture • tBIT > tSetup + tMUX + tDIV – tD • Low CPAR load of half-rate architecture leads to very fast commutations Quarter-rate architecture • tBIT > tSetup + tMUX – tPULSE • Higher CPAR load of quarter-rate architecture leads to increased ISI  Propagating clock forward relaxes serializer timing constraints  Low load highly desirable to limit ISI CK4-I CK4-Q tMUX tDIV CK2 B0 B1 OUT 4:2 2:1 CPAR D0 D1 D2 D3 CK4-I CK4-Q CK4-I CK4-Q FF FF FF FF tD /2 CK4-I CK4-Q SEL<3:0> tPULSE D0 D1 D2 D3 CK4-I CK4-Q SEL<0> SEL<1> SEL<2> SEL<3>CK4-I CK4-Q tMUX FF FF FF FF 2xCPAR OUT 4:1
  • 13. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 13 of 31
  • 14. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 14 of 31 2 4 6 8 10 12 14 16 18 10 20 30 40 50 JitterPk-Pk[ps] Symbol Rate[Gsym/s] Traditional 4:1 Mux Proposed 4:1 Mux Proposed MUX Architecture • MUX 4:2 based on pass-gate to save power and guarantee tMUX > tMULT to respect hold-time constraints • NAND-based frequency doubler generates half rate clock for the last 2:1 MUX • At 32 Gsym/s the Pk-Pk jitter on output node is reduce by 1.3 compared to a traditional direct 4:1 MUX CK4-I CK4-Q X2 tMUX tMULT CK2 B0 B1 D0 D2 D1 D3 OUT 4:2 2:1 CK4-IP/N CK4-QP/P CK4-IN/P CK4-QN/N CK2P/N X2 B0 CK2P B1 CK2N OUT 2:1 LAT D0/D1 D2/D3 CK4-I/CK4-Q B0/B1 4:2
  • 15. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 15 of 31 Effects of I/Q Mismatches • I/Q mismatches on quarter-rate clocks creates DCD on half-rate clock • I/Q phase difference must be lower than 1.4°
  • 16. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 16 of 31 Effects of I/Q Duty-Cycle Distortion • DCD on quarter-rate I/Q clocks translates to DCD on half-rate clocks with period of 4UI
  • 17. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 17 of 31 Clock Generation Tree • Integer-N type PLL with two VCOs and output divider to generate 2-8GHz master clock • Injection-Locking Ring Oscillator provides high-accuracy 8 phases against PVTs • Phase rotators interpolate 8 π/4-spaced phases to improve DNL and INL • Quarter-rate clocks fed to serializer after DCC circuit
  • 18. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 18 of 31 Injection Locked Ring Oscillator Supply voltage [V] Temperature [°C] Quadraturephaseerror [°] -40 0 40 80 120 8 4 0 -4 -8 0.8 0.9 1.0 1.1 1.2 a Quadraturephaseerror [°] 8 4 0 -4 -8 No calibration Analog calibration ON Analog + digital calibration b No calibration Analog calibration ON Analog + digital calibration • A phase detector based on passive mixers measures the quadrature error and continuously tunes the oscillator Vtune for fine phase correction • Concurrently, a window comparator monitors Vtune and drives digital coarse calibration in background. • The quadrature phase error is kept lower than 1.5º when supply and temperature variations are between [0.9V, 1.2V] and [-40ºC, 120ºC] preset Locking Signal up down vTH vTL clkLF logiclogicregister FrequencyCode Vtune Buffer Digital Loop Analog Loop fIN=8GHz [Anzalone et al., ESSCIRC 2016]
  • 19. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 19 of 31 Phase Rotator • Phase Rotators consists of four slices driven by the ILRO outputs • Each slice consists of 32 differential pair thermometric weighted to reduce switching glitches and guarantee the monotonicity of the output phase • At 11GHz, the maximum DNL and INL are 0.5 and 1 LSB, respectively ` ϕ1=135º,ϕ2=180º ` ϕ1=90º,ϕ2=135º ` ϕ1=45º,ϕ2=90º ` ϕ1=0º,ϕ2=45º <0> <15> <0> <15> ϕ1P ϕ1N ϕ2P ϕ2N 1 0 -1 DNL[LSB] 0 -1 INL[LSB] 0.5 -0.5 1 2 -2 -3 -4 Code 0 32 64 96 128 = 2GHz with AQC = 11GHz with AQC = 11GHz without AQC fIN=11GHz from ext
  • 20. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 20 of 31 DCD Correction Circuit • PMOS and NMOS switches operates independently • Two 7 bit thermometric code to avoid glitches and guarantee the monotonicity of the correction • DCD correction circuit capability equal to ±1.5% at 8GHz INP,N OUTN,P SELP<6:0>P,N SELN<6:0>P,N -2 -1 0 1 2 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 DCD@8GHz[%] CODE
  • 21. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 21 of 31 Chip Photo and Power Break-Down • 10ML CMOS 28nm FDSOI CMOS from STMicroelectronics • Chips encapsulated in flip-chip BGA packages
  • 22. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 22 of 31
  • 23. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 23 of 31 Output Eyes at 28/56 Gb/s 0.84UI 0.73V 0.48UI 0.18V • FIR setting: [C-1 C0 C1]=[-1/24 18/24 -3/24] • Vertical opening: 0.18V • Horizontal opening: 0.48UI • FIR setting: [C-1 C0 C1]=[-1/24 18/24 -3/24] • Vertical opening: 0.73V • Horizontal opening: 0.84UI PRBS-9 @ 28Gb/s QPRBS-13 @ 56Gb/s
  • 24. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 24 of 31 Output Eyes at 32/64 Gb/s 0.75UI 0.6V 0.36UI 0.14V PRBS-9 @ 32Gb/s QPRBS-13 @ 64Gb/s • FIR setting: [C-1 C0 C1]=[-1/24 18/24 -3/24] • Vertical opening: 0.14V • Horizontal opening: 0.36UI • FIR setting: [C-1 C0 C1]=[-1/24 18/24 -3/24] • Vertical opening: 0.6V • Horizontal opening: 0.75UI
  • 25. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 25 of 31 S22 and PLL Phase Noise • Return loss better than the mask limit with margin • Jitter of the clock is estimated by integrating phase noise starting from 500kHz offset • The random jitter integrated up to 8GHz is 290fs
  • 26. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 26 of 31 Comparison with State of Art
  • 27. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 27 of 31 Conclusions • Delivering high TX amplitude while preserving linearity and large bandwidth is key for high-speed PAM-4 transmitters • A new output driver allows high swing and good linearity with increased supply while still employing thin-oxide devices operated reliably • A smart FFE structure is proposed for back-compatibility with legacy standards • Measurements test chips realized in 28nm CMOS FDSOI technology by STMicroelectronics prove the effectiveness of the proposed TX
  • 28. 6.4: A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI © 2017 IEEE International Solid-State Circuits Conference 28 of 31 Acknowledgement • The authors are thankful to Dr. Guido Albasini, Daniele Baldi and Dr. Davide Sanzogni and the layout team for their contributions