SlideShare a Scribd company logo
1 of 75
Download to read offline
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Introduction to Microelectronics
Dr. Hubert Kaeslin
Microelectronics Design Center
ETH Z¨urich
VLSI I: Architectures of VLSI Circuits
last update: April 8, 2009
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Worldwide semiconductor market by vendors (2007)
Revenue Share Share
Rank Vendor [GUSD] [%] [%]
1 Intel 33.80 12.3
2 Samsung Electronics 20.46 7.5
3 Toshiba 11.82 4.3
4 Texas Instruments 11.77 4.3
5 Infineon + Qimonda 10.20 3.7
6 ST-Microelectronics 9.97 3.6
7 Hynix 9.10 3.3
8 Renesas 8.00 2.9
9 AMD 5.88 2.1
10 NXP 5.87 2.1
... others 147.05 53.8
Total 237.91 100 0.49
for comparison World GDP (2006) 48 462 100
source: Gartner March 2008 and www.worldbank.org May 2008
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Economic leverage of semiconductors I
Microelectronics has a much larger impact on world economy, however,
because it is acting as a technology driver for
Computer and software industry
Telecommunications and media industry
Commerce, logistics and transportation
Natural science and medicine
Power generation and distribution
Finance and administration
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Economic leverage of semiconductors II
EDA software &
virtual components
Semiconductor components
Electronic components
Electronic goods
(computers, mobile phones,
home entertainment equipment, etc.)
Applications:
• Goods with embedded electronics
(machines, cars, cameras, watches, etc.)
• Information technology services
(corporate IT, Internet, music download, etc.)
World-wide gross domestic product 2006: 48.2 TUSD/y
2006: 248 GUSD/y
Figure: Impact of microelectronics on “downstream” industries and services.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Microelectronics drives the information age
Microelectronics has an enormous economic leverage as any progress
there spurs innovations in “downstream” industries and services.
While computing, telecommunication, and entertainment products
existed before the advent of microelectronics, today’s information
society would not have been possible without.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Microelectronics drives the information age
Microelectronics has an enormous economic leverage as any progress
there spurs innovations in “downstream” industries and services.
While computing, telecommunication, and entertainment products
existed before the advent of microelectronics, today’s information
society would not have been possible without.
⇒ Microelectronics is the enabler of information technology.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Impact of semiconductors on consumer goods I
Figure: Four products that take advantage of microelectronics.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Impact of semiconductors on consumer goods II
Figure: Similar products that include no large-scale integrated circuits.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Impact of semiconductors on consumer goods III
Figure: A product that has brought system integration to even higher levels.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The Guiness book of records point of view
“How large is that circuit?”
Geometric chip size
Transistor count
Gate-equivalents
1 GE → 1 two-input nand → 4 MOSFETs in static CMOS logic
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The Guiness book of records point of view
“How large is that circuit?”
Geometric chip size
Transistor count
Gate-equivalents
1 GE → 1 two-input nand → 4 MOSFETs in static CMOS logic
circuit complexity GEs of logic + bits of memory
small-scale integration (SSI) 1 ... 10
medium-scale integration (MSI) 10 ... 100
large-scale integration (LSI) 100 ... 10 000
very-large-scale integration (VLSI) 10 000 ... 1 000 000
ultra-large-scale integration (ULSI) 1 000 000 ...
Hint: state storage capacities separately from logic complexity, e.g.
75 000 GE of logic + 32 Kibit SRAM + 512 bit flash ≈ 108 000 GE
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
What you ought to know about logic families
A logic family is a collection of digital subfunctions that
• assemble to arbitrary logic, arithmetic and storage functions
• are compatible among themselves electrically
• share a common fabrication technology
Acronym Meaning
MOS Metal Oxide Semiconductor.
FET Field Effect Transistor (n- or p-channel)
BJT Bipolar Junction Transistor (npn or pnp)
CMOS Complementary MOS (circuit or technology)
static CMOS data stored in bistable subcircuits and retained
dynamic CMOS data stored as electrical charges to be refreshed
TTL Transistor Transistor Logic (BJTs & passive devices)
ECL Emitter-Coupled Logic (non-saturating logic)
BiCMOS CMOS & bipolar devices on a single chip
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
2-input
nand
gate in
various
techno-
logies
e)
OUP
VCC
GND
IN1
IN2
g)
bias section
other gates
shared with
(NAND)
OUP1 OUP2
(AND)
IN1
IN2
VCC2
VEE
VCC1
p-channel
MOSFET
n-channel
voltage-controlled
current source
npn
pnp
current-controlled
current source
BJTdevice
icon
approximate
behavior
resistor diodedevice
icon
variations depletion
device
c)
VDD
VSS
OUP
IN1
IN2
b)
OUP
VDD
VSS
IN1
IN2
evolution
technological
Figure: Static CMOS (c), NMOS (b), early TTL (e), and ECL circuit (g).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The marketing point of view
“How do functionality and target markets relate to each other?”
General-purpose IC. Examples are either very simple or very generic.
Simple circuit: gates, flip-flops, counters, etc.
Generic functionality: RAMs, ROMs, microcomputers,
FPL, etc.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The marketing point of view
“How do functionality and target markets relate to each other?”
General-purpose IC. Examples are either very simple or very generic.
Simple circuit: gates, flip-flops, counters, etc.
Generic functionality: RAMs, ROMs, microcomputers,
FPL, etc.
Application-specific integrated circuit (ASIC).
Application-specific standard product (ASSP):
designed for a specific task and sold to various
customers.
Examples: graphics accelerators, cellular radio chip
sets, smart card chips, etc.
User-specific integrated circuit (USIC):
designed and produced for a single company.
Examples: audio processor for hearing aids, etc.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
A first IC classification scheme
counter
logic
gate
SSI MSI LSI VLSI ULSI
multiplier
parallel
complexity
hardware
. . . . "system on a chip (SoC) " . . . .
functionality
glue logic
mobile radio
base-band
processor
compressor
video data
digital
filter
error-correcting
encoder/decoder
transceiver
spatial diversity
. . . . memory [and still more memory] . . . .
. . . . program-controlled processor . . . .interface
computer
. . . . field-programmable logic devices . . . .
(before getting programmed)
general
purpose
application-
specific
Figure: ICs classified as a function of functionality and hardware complexity.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
A first glimpse at VLSI manufacturing
a)
unprocessed
wafer
b)
most masks
shared with
other designs
few masks
made to order
for one design
all masks
made to order
for one design
preprocessed
wafer
Figure: Full-custom (a) and semi-custom (b) masks sets compared.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Semi-custom fabrication I
preprocessed master
a)
Figure: Prefabricated gate array site.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Semi-custom fabrication II
b)
custom metallization
+
Figure: Custom contact and metal masks.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Semi-custom fabrication III
c)
customized circuit
=
not used
not used
Figure: Site customized into a 2-input NAND gate.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Evolution of semi-custom floorplans
a)
predefined
routing channel
b)
input/output
pad
routing channel
only where needed
unutilized areas
GA SOG
utilized devices
availability
metal layers
of multiple
row of prefabricated
transistor pairs
row of prefabricated
gate-array sites
Figure: Channeled gate-array (a) versus channelless semi-custom circuits (b).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Field-programmable logic
No dedicated layout structures, no dedicated photomasks.
Customization is via purely electrical means.
“Programmable” is a misnomer as there is no instruction sequence
to execute. “Configurable” is more accurate as pre-manufactured
subcircuits are made to form the target circuit.
All configuration technologies today have their roots in
semiconductor memory technology.
Benefits compared to mask-programmed ASICs:
Easy and extremely fast to modify (highly agile).
Solutions for testability, I/O subcircuits, clock and power
distribution, embedded memories, etc. all come at no extra effort
shut in the FPL component.
⇒ FPL can be thought as “soft hardware”.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The fabrication point of view (summary)
“To what extent is a circuit manufactured to user specs?”
Full-custom IC: all fabrication layers, full set of photomasks.
Semi-custom IC (gate array, sea-of-gates, structured ASIC):
a few metal layers only, subset of photomasks.
Field-programmable logic (SPLD, CPLD, FPGA):
customization occurs electrically, no masks involved.
Standard part: catalog part with no customization whatsoever
aka commercial off-the-shelf (COTS) component.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
The design engineer’s point of view
“Which levels of detail are being addressed during a part’s design?”
Hand layout: Desired geometric shapes manually drawn to scale.
Cell-based design by means of schematic entry:
Manual schematic entry automatic place & route.
Automatic circuit synthesis:
Manual HDL or SW code writing automatic netlist
generation.
Logic synthesis
Register transfer level (RTL) synthesis
Architecture or high-level synthesis
Design with virtual components:
Purchase of HDL code automatic netlist generation.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Views of a library cell (or of any other subcircuit)
a)
INA
INB
INC
OUP
architecture procedural of nor3 is
begin
end procedural;
OUP <= not (INA or INB or INC) after tpd;
entity nor3 is
port (
INA, INB, INC : in StdLogic;
OUP : out StdLogic );
generic (
tpd : time := 1.0 ns );
end nor3;
b)
0
0
0
1
1
0
0
0
1
0
1
0
0
1
0
0
1
0
stimuli responses
1
0
0
0
0
1
INA OUPINB INC
c)
d)
INA
INB
INC
OUP
e)
INA OUPINB INC
INA OUPINB INC
VSS
VDD
VSS
VDD
INA OUPINB INC
INA OUPINB INC
VSS
VDD
VSS
VDD
f)
Figure: Icon (a), simulation model (b), test vector set (c), transistor-level
schematic (d), detailed layout (e), and cell abstract (f).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Typical cell mix in a full-custom IC
std
cell
std
cell
std
cell
std
cell
std
cell
standard cell row
with over-the-cell routing
F4
A
F4
B
F1
C
F1
D
F1
A
F1
B
F4
C
F4
D
F2
C
F2
D
F2
B
F2
A
F3
C
F3
D
F3
B
F3
A
megacell
macrocell
megacell
megacell
megacell
macrocell
CLK RST
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Automatic circuit synthesis I
Logic synthesis accepts logic equations, truth tables, and state graphs.
Generates gate-level netlists for combinational logic and
for finite state machines (FSM).
⇒ Absorbed in today’s EDA flows.
Register transfer level (RTL) modelling:
Circuit viewed as a network of storage elements
— registers and possibly also RAMs — that are held
together by combinational building blocks.
Behavioral specifications allowed to include arithmetic
functions, string operations, arrays, enumerated
types, and other more powerful constructs.
⇒ Introduced in the early 1990s, universally adopted.
Parametrized and portable designs favor reuse.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Automatic circuit synthesis II
b)
architecture procedural of patternmatch is
begin
signal PREST : Std_Logic_Vector(0 to 5);
end architecture procedural;
process (CLK,CLR) is
begin
if CLR=’1’ then
allbits : for i in 1 to 5 generate
end process;
elsif CLK’event and CLK=’1’ then
end generate;
PREST(i) <= PREST(i-1);
end if;
PREST(0) <= INP;
PREST(i) <= ’0’;
OUP <= true when PREST(1 to 5)="11011"
else false;
D Q
Q
CLR
CLK
D Q
Q
CLR
CLK
D Q
Q
CLR
CLK
D Q
Q
CLR
CLK
D Q
Q
CLR
CLK
c)
a)
ROM
+ | - | 0
*
+1
w w
v
2w+3
Figure: RTL diagram (a), RTL synthesis model (b), and gate-level schematic
(c) (simplified, note that (a) and (b) refer to different circuits).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Automatic circuit synthesis III
Architecture synthesis starts from a purely behavioral data processing
algorithm. Source code includes no explicit indications for
how to marshal data processing operations and hardware
resources. Works in five major phases:
1. Identify the computational and storage requirements.
2. From a virtual library, select a suitable building block for each kind
of processing and storage operation.
3. Establish a cycle-based schedule for carrying out the algorithm.
4. Decide on a hardware organization able to execute the resulting
work plan.
5. Keeping track of data moves and operations for each clock cycle,
translate into the necessary instructions for RTL synthesis.
⇒ Does not always yield optimal results, active field of research.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Virtual components
VCs (aka intellectual property modules or cores) are HDL
synthesis packages made available to others on a
commercial basis:
Vendor develops a major function into a synthesis model for sale.
Licensee buys VC, incorporates it into his design, then carries out
all the rest, i.e. synthesis, place and route (P&R), and overall
verification.
VCs are portable across fabrication technologies (soft modules),
standard/macro/megacells are process-specific (hard modules).
Most VCs implement fairly common subfunctions,
parametrization is sought to cover more applications.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Virtual components
VCs (aka intellectual property modules or cores) are HDL
synthesis packages made available to others on a
commercial basis:
Vendor develops a major function into a synthesis model for sale.
Licensee buys VC, incorporates it into his design, then carries out
all the rest, i.e. synthesis, place and route (P&R), and overall
verification.
VCs are portable across fabrication technologies (soft modules),
standard/macro/megacells are process-specific (hard modules).
Most VCs implement fairly common subfunctions,
parametrization is sought to cover more applications.
Examples: processor cores, all sorts of filters, audio and/or video
en/decoders, cipher functions, error correction en/decoders, USB,
FireWire, and other interfaces.
⇒ VCs have given rise to a new industry since the late 1990s.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
A second IC classification scheme
Fabricat. Electrical Semi-custom Full-custom
depth configuration fabrication fabrication
Design Cell-based as obtained from Hand layout
level ◦ synthesis with VCs in HDL form,
◦ synthesis from captive HDL code,
◦ schematic entry, or a mix of these
Product Field- Gate-array, Std. cell Full-custom
name programmable sea-of-gates, IC IC
logic device or structured
(FPGA, CPLD) ASIC
IC families as a function of fabrication depth and design abstraction level.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Electronic system-level (ESL) design automation
Pressure towards better design productivity has incited the industry to
look at design automation from a wider perspective.
Correct-by-construction methodology by supporting progressive
refinement starting with a virtual prototype
Explore the architectural solution space more systematically and
more rapidly than with RTL synthesis methods.
Make it possible to start software development before hardware
design is completed.
Improve the coverage and efficiency of functional verification by
dealing with system-level transactions and by taking advantage of
formal verification.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Players in semiconductor markets I
Our final question relates to business.
“How are the industrial activities shared between business partners?”
Traditional business model:
Integrated device manufacturer (IDM): a chip vendor who operates his
own wafer processing facilities.
Examples: Intel, Toshiba, Samsung, ST-Microelectronics,
IBM semiconductors, austriamicrosystems, etc.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Players in semiconductor markets II
More recent business models support more narrow specialization:
Silicon foundry: a company that operates a wafer processing line and
that offers its manufacturing services to others.
Examples: TSMC, UMC, etc.
Fabless chip vendor: develops and markets proprietary semiconductor
components but has their manufacturing commissioned to
an independent silicon foundry.
Examples: Altera and Xilinx (FPL), Broadcom
(networking), Cirrus Logic/Crystal (audio and video chips),
Nvidia (graphics chips), Ramtron (non-volatile memories).
Fab-lite chip vendor: retains just the limited and specialized
manufacturing capabilities to integrate sensors, actuators,
RF components, or photonic devices, in a silicon substrate
along with electronic circuitry.
Examples: Sensirion, Luxtera.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
Players in semiconductor markets III
Virtual component vendor: a company that develops synthesis packages
and licenses them to others for incorporation into their
own ICs.
Examples: ARM, Sci-worx, Synopsys (former InSilicon).
System house: a company that integrates both hardware and software
into their products. Hardware is based on microprocessors,
memories, ASSPs and FPGAs. USICs are being designed
iff they provide a competitive advantage.
Examples: Apple (media players), Cisco (network
equipment), Landis+Gyr (energy meters), Valeo
(automotive).
Many small and medium-sized electronics companies (typical for Europe)
operate as system houses.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Guiness book of records point of view
The marketing point of view
The fabrication point of view
The design engineer’s point of view
The business point of view
What has made these new business models possible?
Three factors came together to make fabless operation possible:
Generous integration densities at low costs.
Proliferation of high-performance engineering workstations and EDA
software
Availability of know-how in VLSI design outside IC manufacturing
companies (this course).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
The Y-chart
physical
perspective
back-end
design
behavioral
perspective
structural
perspective
front-end
design
physical
perspective
behavioral
perspective
structural
perspective
transfer
functions
subtasks
truth tables,
state graphs
data moves
and operations
transistors, wires
gates, latches, flip-flops
ALUs, registers, memories
standard cells,
macro cells
detailed layout
mask polygons,
chip or board
placement
and routing
electrical
logic (aka gate-level)
architecture
system
register transfer
levels of abstraction
floorplan,
partitioning
goal
start
algorithm
and I/O
top blocks
subblocks
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
More design views
c)
architecture seriesexpansion of cosine is
begin
process (theta) is
begin
sum := 1.0;
end process;
end architecture seriesexpansion;
end loop;
result <= sum;
variable sum, term : real;
variable n : natural;
term := 1.0;
n := 0;
while abs term > abs (sum / 1.0E6) loop
n := n+2;
term := (-term)*theta**2 / real(((n-1)*n));
sum <= sum+term;
b)
e)
Uoup
inpU
trellis
trace
unit
back
survivor
memory
branch
metric
unit
comput.
add-
compare-
units
select
path
metric
I
memory
path
metric
II
memory
f)
a)
d)
A B
C
state graph
(models reactive behavior)
data dependency graph
(models transformatorial behavior)
local
controller
local
controller
local
controller
super-
visory
controller
Figure: Floorplan (a), software model (b), encapsulated chip (c), graphical
formalisms (d), transfer characteristic (e), and block diagram (f) (simplified).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Electronic system-level design flow
system-leveldesign
collection of algorithms
along with data formats
ASIC or programmable IC program-controlled processor
over a single consistent data base?
truly portable and amenable
to synthesis with good results?
behavioral
modelling and
simulation
model libraries
for various
subfunctions
control flow,
cooperat. finite
state machines
protocols,
user interfaces
ressource and/or
instruction set
planning
algorithms and
system archi-
tecture design
abstract mathematical models
subject to successive refinement
data networks,
congestions,
traffic, queues
effects from
finite word sizes,
scaling
filter synthesis,
filtering,
correlation
error correction
coding,
modulation
source coding,
compression
data
key distribution,
ciphering,
authentication clock recovery
synchronization,
is there an agreed-on
system-level design
language (SLDL)?
is there any broadly accepted
formalism for specification?
• exploration,
• fast prototyping, and
• validation
of algorithms and
system architectures
implies addressing a subset
of the issues shown here
code generator
for signal- or
microprocessor
machine code
to processor
from marketing
and customers
HDL generator
for hardware
synthesis
bit-true
HDL model
system
specifications
SystemC may provide an answer
- interactive resource allocation
- automatic scheduling
- automatic binding
- translation to RTL model
UML may provide a workable solution
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
System-level design
Decisions taken at this stage determine the final outcome more than
anything else:
Specify the functionality and characteristics of the system to be
Partition the system’s functionality into subtasks
Explore alternative hardware and software tradeoffs
Decide on make or buy for all major building blocks
Decide on interfaces and protocols for data exchange
Decide on data formats, operating modes, exception handling, etc.
Define, model, evaluate and refine the various subtasks
Result: System-level model.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Algorithm design
Streamline computations in view of their implementation in hardware:
Cut down computational burden and memory requirements
Find compromises between computational complexity and accuracy
Contain effects due to finite word-length computation
Decide on number representation schemes
Evaluate alternatives and selecting the one best suited
Quantify the minimum required computational resources
Result: Bit-true software model.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Digital VLSI design flow (front-end)frontenddesign
pre-layout
timing
verification
preliminary
timing
estimation
fault
grading
design
architecture
gate-level
netlist (1)
[or schematics]
arith./logic ops
code of regs and
specifications
test vector
generation
insertion of
test structures
autom.testinsertion
from system-level development
formal
equivalence
check
block diagram
high-level
[or HDL code]
electrical
rule check
(ERC)
HDLsynthesis
calculation
delay
cell and wire delays
floorplan
overall beha-
vioral simulation
inp. to outp. mapping
block-level be-
havioral simul.
transaction-based
register transfer
level simulation
cycle-true
simulation
gate-level
event-driven
behavioral
modelling
(algorithm with
software model
data formats)
gate-level
netlist (2)
RTL design
incl. macrocell
preparation
logic design and
optimization
estimation of die
size and major
cost factors
preliminary
power
estimation
high-levelsynthesis
floorplanning,
and pinout
package selection
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Architecture design I
Take important high-level decisions:
Partition a computational task in view of a hardware realization.
Organize the interplay of the various subtasks.
Allocate hardware resources to each subtask (allocation).
Define datapaths and controllers.
Decide between off-chip RAMs, on-chip RAMs and registers.
Decide on communication topologies and protocols (parallel, serial).
Define how much parallelism to provide in hardware.
Decide where to opt for pipelining and to what degree.
Decide on a circuit style and fabrication process.
Get a first estimate of the circuit’s size and cost.
Results: High-level block diagram and preliminary floorplan.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Architecture design II
Work out lower-levels details of an architecture by deciding:
How to implement arithmetic and logic units?
Whether to use hardwired logic or microcode for a controller?
When to use a ROM rather than random logic?
What operations to perform during which clock cycle (scheduling)?
What operations to carry out on which processing unit (binding)?
What clocking discipline to adopt?
What time interval to use as the basic clock period?
Where to prefer a bidirectional bus over two unidirectional ones?
By what test strategy to ensure testability?
How to initialize the circuit?
Results: Set of more detailed diagrams and verified RTL code.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Digital
VLSI
design flow
(back-end)
backenddesign
preliminary
cell placement
largelyautomatedphysicaldesigntools
detailed
routing
chip abstract layout
formal
equivalence
check
floorplan
substitution of
detailed layout
for cell abstracts
chip detailed layout
extraction of
devices and
interconnect
layout versus
schematic
(LVS)
substitution of
detailed circuits
for cell icons
automaticlayoutmerge
to IC manufacturing © hk 2.07.07
gate-level
netlist (2)
placement and
gate netlist (3)
transistor-level netlist
back-annotated
extraction of
cell abstracts
and interconnect
layout versus
schematic
(LVS)
layout/design
rule check
(DRC)
post-layout
timing
verification
logic simulation
post-layout
event-driven
calculation
delay
analysis
signal integrity
gate-level netlist
back-annotated
cell and wire
delays
analysis
power grid
manufacturability
analysis
DRC and/or
padframe constr.,
power distribution,
initial placement
drawing of
bonding diagram
to IC packaging
bonding
diagram
reoptimization
and rebuffering
of logic
clock tree
insertion
placement and
gate netlist (4)
rebuffering,
hold time fixing,
and rerouting
gate-level
netlist (5)
final
preliminary
abstract layout
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Physical design
Steps
Floorplanning (begins during front-end design)
Padframe generation and power distribution
Intial placement of cells
Reoptimization and rebuffering
Clock tree insertion
Detailed routing
Rebuffering and hold time fixing
Chip assembly (global routing)
Substitution of detailed layout for cell abstracts
Result: Polygon layout data for mask preparation (GDS II).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Physical design verification
Prior to fabrication, all layout data need to be checked to protect against
fatal mishaps. The set of instruments available includes:
Check conformity of layout with geometric rules (DRC)
Search for patterns likely to be detrimental to yield
Layout extraction [re-]obtains the actual circuit netlist
Layout-versus-schematic (LVS)
Post-layout timing verification
Post-layout simulation
Result: Either proof of geometric integrity or error list.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
The leitmotiv of VLSI design
Any design flaw found after tapeout or, even worse, after prototype
fabrication wastes important amounts of time and money.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
The leitmotiv of VLSI design
Any design flaw found after tapeout or, even worse, after prototype
fabrication wastes important amounts of time and money.
Redesigns are so devastating that the entire semiconductor industry
is committed to “first-time-right” design as a guiding principle.
VLSI engineers typically spend much more time verifying a circuit
than actually designing it.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Cell libraries I
librarydevelopment
© hk 18.4.02
layout versus
schematic
(LVS)
purchaseofcelllibrary
transistor-level
schematics
design
transistor level
circuit
extraction
leaf cell layouts
circuit
simulation
continuous time
layout design
at detail level
cell
characterization
transistor-level netlists
with layout parasitics
leaf cell
timing models
flow of design data
corrective action by designer
based on feedback information
design automation shortcuts
behavioral aspects
structural aspects
physical aspects
construction verification
list of leaf cells to be
behavioral
modelling
leaf cell
functional models
target cell library
directly contribute
to design decisions
and/or process data
manufacturability
analysis
DRC and/or
Figure: Library design flow.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Cell libraries II
The views required for each cell in a library include:
Datasheet with functional, electrical and timing specs.
Graphical icon or symbol.
Accurate behavioral models for simulation and timing analysis.
Set of simulation and test vectors.
Transistor-level netlist or schematic.
Detailed layout.
Simplified layout showing only cell outline and connector locations
(known as cell abstract, floorplanning abstract, or phantom cell).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
The Y-chart, a map of digital electronic systems
Major stages in VLSI design
Cell libraries
Cell libraries III
Designing, characterizing, documenting, and maintaining a cell
library is a considerable effort.
To protect their investments, library vendors are not willing
to disclose how their cells are constructed internally.
Vendors thus supply only cell abstracts.
Detailed layouts are to be substituted for all abstracts
by the vendor before mask preparation can begin.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Field-programmable logic (FPL) configuration technologies
a)
static memory cell
electronic
switch
c)
layout view
(to be blown or not
narrow constriction
during programming)
d)
cross section
base material
thin dielectric layer
(to be ruptured or not
during programming)
metal
metal
b)
control gate
(used for programming only)
floating gate
(acting as charge trap)
cross section
metal metal
source drain
Figure: Configuration storage is adapted from semiconductor memories.
◦ SRAM: Switch steered by static memory cell (a),
◦ Flash: MOSFET controlled by trapped charge (b),
◦ PROM: fuse (c) and antifuse (d).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Field-programmable logic (FPL) configuration technologies
(continued)
Non Live at Reconfi- Unlimit. Area Extra
Configuration vola- power gurable endu- occupation fabr.
technology tile up rance per link steps
SRAM no no in ckt yes large 0
UV-erasable yes yes out of no small 3
EPROM circuit in array
Electr. erasable yes yes in ckt > 5
EEPROM no 2·EPROM
Flash memory no ≈EPROM
Antifuse PROM yes yes no n.a. small 3
Ideal yes yes in ckt yes zero 0
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Unlimited reprogrammability has its drawback
Storing the FPL configuration is an SRAM-type memory implies that
the configuration gets lost whenever the circuit is powered down.
The problem is solved in one of three possible ways:
(a) by reading from a dedicated off-chip ROM (bit-serial or bit-parallel),
(b) by downloading a bit stream from a host computer, or
(c) by long-term battery backup.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Complex programmable logic devices (CPLD)
equivalent to
one SPLD
programmable
interconnect
CPLD
c)
AND
plane
OR
plane
PLA
inputs outputs
a)
logic
programmable
AND
plane
OR
plane
SPLD
flip-flops & feedback
inputs outputs
b)
programmable
feedback
logic
programmable
evolution
technological
evolution
technological
flip-flops&feedback
AND
plane
OR
plane
configurable
I/O cell
Figure: General architecture of CPLDs (c) along with precursors (a,b).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Field-programmable logic devices (FPGA)
Overall organization patterned after mask-programmed gate-arrays.
logic
cell
config.
switch
box
conf.
configurable
I/O cell
wires
FPGA
wires
Figure: General architecture of FPGAs.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Fine-grained FPGAs
A few logic gates and/or one bistable per configurable logic cell.
Actel logic tile
INP1
as clock
INP2
may serve
OUP1
to local routing
OUP2
to long routing
may serve
INP3
as reset
a)
subcircuits
controlled by
configuration bits
Figure: Example: logic tile from Actel ProASIC.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Coarse-grained FPGAs
Combinational
functions of
four or more
variables,
two or more
bits stored per
configurable
logic cell.
b)
Xilinx slice
LUT
config.
D Q
ENA
SR
REV
CLK
or
XQ
X
XMUX
XB
F5
YQ
Y
YMUX
YB
FX
D Q
CLK
G1
G2
G3
G4
F1
F2
F3
F4
SR
CE
BY
BX
FXINA
FXINB
CIN
LUT
config.
ENA
SR
REV
CLK
or
Figure: Example: logic slice from Xilinx Virtex-4 (4-input LUTs, 2 bistables).
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
There is a general trend towards coarser granularities
Figure: LUT granularity trade-offs at the 65 nm technology node.
The optimum trade-off for LUTs has shifted from 4 to 6 inputs
over the last couple of process generations.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Example I:
Logic slice
from Xilinx
Virtex-5
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Example II: Adaptive logic module from Altera Stratix II
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Commercial products
overall organization of hardware resources
configuration CPLD FPGA
technology coarse grained fine grained
static Xilinx Spartan, Virtex. Atmel AT6000,
memory Lattice SC, EC, ECP. AT40K.
(SRAM) Altera FLEX, APEX,
Stratix, Cyclone.
eASIC Nextreme SL
UV-erasable Cypress MAX340
(EPROM) (discontinued)
electrically Xilinx XC9500, Lattice XP Actel ProASIC
erasable CoolRunner-II. MACH XO. ProASICPLUS,
(flash) Altera MAX3000, 7000. Fusion
Lattice MACH 1,...,5. Igloo.
Cypress Delta39K,
Ultra37000.
antifuse QuickLogic Eclipse II, Actel MX,
(PROM) PolarPro. Axcelerator AX.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Watch out!
Capacity figures of FPL and of semi-custom ICs may be confusing.
Manufactured gates: Total number of GEs physically present on a die.
Usable gates: Maximum number of GEs that are usable under typical or
best case conditions. The exact percentage depends on
the application, advertisements tend to exaggerate.
Actual gates: GEs that are indeed put to service by a given design,
corresponds to the GEs for a cell-based full-custom IC.
GEmanufactured > GEusable > GEactual
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Watch out!
Capacity figures of FPL and of semi-custom ICs may be confusing.
Manufactured gates: Total number of GEs physically present on a die.
Usable gates: Maximum number of GEs that are usable under typical or
best case conditions. The exact percentage depends on
the application, advertisements tend to exaggerate.
Actual gates: GEs that are indeed put to service by a given design,
corresponds to the GEs for a cell-based full-custom IC.
GEmanufactured > GEusable > GEactual
⇒ Carry out benchmarks with representative designs as this helps to
make better cost calculations,
obtain realistic timing figures,
avoid misguided choices.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Some FPGAs also include wide datapath units (MAC)
Figure: Example: DSP48E slice from Xilinx Virtex-5.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
Further extensions
Many commercial parts include field-programmable logic plus
hardwired SRAMs, FIFOs, clock recovery circuits, etc.
hardwired microprocessor and DSP cores (e.g. PowerPC, ARM),
hardwired standard interface circuits
(PCI, USB, FireWire, Ethernet, WLAN, JTAG, LVDS, etc.)
hardwired analog-to-digital and digital-to-analog converters,
configurable analog building blocks (such as filters, for instance),
field-programmable analog arrays (FPAA)
built from OpAmps, capacitors, resistors and switchcap elements,
combinations of the above.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
FPL design flow
Front-end flow (architecture design, HDL coding, functional
verification) is much the same for FPGAs and CPLDs as for ASICs.
Back-end flow differs to some extent.
1. Netlist obtained from HDL synthesis is mapped onto
configurable blocks available in the target device.
2. Interconnect gets implemented using the wires, switches and
drivers available.
3. Result is converted into a configuration bit stream for download
into the FPL device.
4. FPL vendors make available proprietary tools for the above
procedure.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Configuration technologies
Organization of hardware resources
Commercial products
Design flow
FPL design flow
Front-end flow (architecture design, HDL coding, functional
verification) is much the same for FPGAs and CPLDs as for ASICs.
Back-end flow differs to some extent.
1. Netlist obtained from HDL synthesis is mapped onto
configurable blocks available in the target device.
2. Interconnect gets implemented using the wires, switches and
drivers available.
3. Result is converted into a configuration bit stream for download
into the FPL device.
4. FPL vendors make available proprietary tools for the above
procedure.
⇒ Hierarchy of required skills:
Field-programmable logic ⊂ Semi-custom ICs ⊂ Full-custom ICs.
Let us begin with topics that matter independently of fabrication depth.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Major semiconductor technologies and logic families
The alphabet soup explained.
Acronym Meaning
MOS Metal Oxide Semiconductor.
FET Field Effect Transistor (n- or p-channel)
BJT Bipolar Junction Transistor (npn or pnp)
NMOS n-channel MOS (transistor, circuit or technology)
PMOS p-channel MOS (transistor, circuit or technology)
CMOS Complementary MOS (circuit or technology)
static CMOS data stored in bistable subcircuits and retained
dynamic CMOS data stored as electrical charges to be refreshed
TTL Transistor Transistor Logic (BJTs & passive devices)
ECL Emitter-Coupled Logic (non-saturating logic)
BiCMOS CMOS & bipolar devices on a single chip
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
2-input nand gate in TTL technology
TTL invented 1961 as an improvement over DTL and RTL.
d)
IN1
OUP
IN2
e)
OUP
VCC
GND
IN1
IN2
f)
GND
VCC
OUP
IN1
IN2
evolution
technological
Figure: Icon (d), original multi-emitter circuit (e), and more recent F generation
circuit (f). The auxiliary devices serve clamping and speed-up purposes.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
2-input nand gate in ECL technology
ECL invented 1956 as fast non-saturating current switching logic.
resistor varicapdiodedevice
icon
p-channel
MOSFET
n-channel
voltage-controlled
current source
npn
pnp
current-controlled
current source
BJTdevice
icon
approx.
behavior
Schottky
device
variations depletion
device
g)
bias section
other gates
shared with
(NAND)
OUP1 OUP2
(AND)
IN1
IN2
VCC2
VEE
VCC1
Figure: Circuit (g) with schematic symbols used.
Switching is by current steering without transistors entering saturation.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
2-input nand gate in MOS technologies
CMOS invented 1963 as an improvement over NMOS and PMOS
with (close-to) zero quiescent power.
a)
VDD
VSS
IN1
IN2
OUP
c)
VDD
VSS
OUP
IN1
IN2
b)
OUP
VDD
VSS
IN1
IN2
evolution
technological
Figure: PMOS (a), NMOS (b), and static CMOS (c) circuits.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
Economic impact
Concepts and terminology
Design flow in digital VLSI
Field-programmable logic
Appendix I: A brief glossary of logic families
Why does CMOS technology dominate VLSI today?
As first observed in 1972 by Robert Dennard
Geometric down-scaling benefits
layout density,
operating speed,
energy efficiency, and
manufacturing costs per function.
Simplicity and comparatively low power dissipation have allowed
for integration densities not possible on the basis of BJTs.
⇒ After a start as a low-power but slow circuit alternative, CMOS
has gradually displaced competing technologies and logic families.
Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics

More Related Content

What's hot

Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concernasinghsaroj
 
7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtechBhargav Veepuri
 
Mems (Detail Presentation)
Mems (Detail Presentation)Mems (Detail Presentation)
Mems (Detail Presentation)Vinayak Hegde
 
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)Trijit Mallick
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed designMinho Park
 
Introduction To Microelectronics
Introduction To MicroelectronicsIntroduction To Microelectronics
Introduction To MicroelectronicsAnkita Jaiswal
 
CMOS Topic 2 -manufacturing_process
CMOS Topic 2 -manufacturing_processCMOS Topic 2 -manufacturing_process
CMOS Topic 2 -manufacturing_processIkhwan_Fakrudin
 
Single_Electron_Transistor_Aneesh_Raveendran
Single_Electron_Transistor_Aneesh_RaveendranSingle_Electron_Transistor_Aneesh_Raveendran
Single_Electron_Transistor_Aneesh_RaveendranAneesh Raveendran
 
Silicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and OpportunitiesSilicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and Opportunitiesinside-BigData.com
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSIShams Tabrej
 
02 ESD basics_survey by Swetha
02 ESD basics_survey by Swetha02 ESD basics_survey by Swetha
02 ESD basics_survey by Swethaswethamg18
 
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...Realsim, Fanavaran Sharif
 

What's hot (20)

Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech
 
Mems (Detail Presentation)
Mems (Detail Presentation)Mems (Detail Presentation)
Mems (Detail Presentation)
 
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Vlsi 2
Vlsi 2Vlsi 2
Vlsi 2
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed design
 
Introduction To Microelectronics
Introduction To MicroelectronicsIntroduction To Microelectronics
Introduction To Microelectronics
 
Photomask Fabrication
Photomask FabricationPhotomask Fabrication
Photomask Fabrication
 
CMOS Topic 2 -manufacturing_process
CMOS Topic 2 -manufacturing_processCMOS Topic 2 -manufacturing_process
CMOS Topic 2 -manufacturing_process
 
vlsi fabrication
vlsi fabricationvlsi fabrication
vlsi fabrication
 
Rf mems basic
Rf mems basicRf mems basic
Rf mems basic
 
Single_Electron_Transistor_Aneesh_Raveendran
Single_Electron_Transistor_Aneesh_RaveendranSingle_Electron_Transistor_Aneesh_Raveendran
Single_Electron_Transistor_Aneesh_Raveendran
 
Silicon photonics
Silicon photonicsSilicon photonics
Silicon photonics
 
3d ic's ppt..
3d ic's ppt..3d ic's ppt..
3d ic's ppt..
 
Silicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and OpportunitiesSilicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and Opportunities
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
 
02 ESD basics_survey by Swetha
02 ESD basics_survey by Swetha02 ESD basics_survey by Swetha
02 ESD basics_survey by Swetha
 
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...
PresenWide Bandgap Semiconductor Materials for Improved Performance Microwave...
 

Viewers also liked

Agility in microelectronics
Agility in microelectronicsAgility in microelectronics
Agility in microelectronicsMadhur Kathuria
 
Funding Patent Litigations
Funding Patent LitigationsFunding Patent Litigations
Funding Patent Litigationscbiyer
 
8051 Instruction Set
8051 Instruction Set8051 Instruction Set
8051 Instruction SetStupidsid.com
 
Fabrication of microelectronic devices
Fabrication of microelectronic devicesFabrication of microelectronic devices
Fabrication of microelectronic devicesThulasikanth Vaddi
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integrationkriticka sharma
 
Microelectronic Capsule
Microelectronic CapsuleMicroelectronic Capsule
Microelectronic Capsuletavinav
 
Laser diode fabrication
Laser diode fabricationLaser diode fabrication
Laser diode fabricationuttam999
 
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...Alexandre de Russie
 

Viewers also liked (17)

Agility in microelectronics
Agility in microelectronicsAgility in microelectronics
Agility in microelectronics
 
Ue 1(v sem)
Ue 1(v sem)Ue 1(v sem)
Ue 1(v sem)
 
Funding Patent Litigations
Funding Patent LitigationsFunding Patent Litigations
Funding Patent Litigations
 
8051 Instruction Set
8051 Instruction Set8051 Instruction Set
8051 Instruction Set
 
Uc 2(vii)
Uc 2(vii)Uc 2(vii)
Uc 2(vii)
 
CMOS Fabrication Process
CMOS Fabrication ProcessCMOS Fabrication Process
CMOS Fabrication Process
 
Fabrication of microelectronic devices
Fabrication of microelectronic devicesFabrication of microelectronic devices
Fabrication of microelectronic devices
 
Cmos process flow
Cmos process flowCmos process flow
Cmos process flow
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 
Microelectronic Capsule
Microelectronic CapsuleMicroelectronic Capsule
Microelectronic Capsule
 
Semiconductor laser
Semiconductor laserSemiconductor laser
Semiconductor laser
 
Semicondutor laser
Semicondutor laser Semicondutor laser
Semicondutor laser
 
Laser diode fabrication
Laser diode fabricationLaser diode fabrication
Laser diode fabrication
 
On cmos fabrication
On cmos fabricationOn cmos fabrication
On cmos fabrication
 
Valeo 0 (1)
Valeo 0 (1)Valeo 0 (1)
Valeo 0 (1)
 
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...
Mémoire S.Iwanski - Quelles sont les limites du balanced scorecard dans une e...
 
Semiconductor lasers
Semiconductor lasersSemiconductor lasers
Semiconductor lasers
 

Similar to Introduction to Microelectronics

09.50 Ernst Vrolijks
09.50 Ernst Vrolijks09.50 Ernst Vrolijks
09.50 Ernst VrolijksThemadagen
 
Smalltalk-80 : hardware and software
Smalltalk-80 : hardware and softwareSmalltalk-80 : hardware and software
Smalltalk-80 : hardware and softwareESUG
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdfAcademicICECE
 
Miniaturized Connectivity Solutions for Industry 4.0
 Miniaturized Connectivity Solutions for Industry 4.0 Miniaturized Connectivity Solutions for Industry 4.0
Miniaturized Connectivity Solutions for Industry 4.0HARTING India Pvt Ltd
 
Embedded system Design
Embedded system DesignEmbedded system Design
Embedded system DesignAJAL A J
 
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...Industrial IoT and the emergence of Edge Computing Navigating the Technologic...
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...Roberto Siagri
 
Smart appliances EupP interoperability
Smart appliances EupP interoperabilitySmart appliances EupP interoperability
Smart appliances EupP interoperabilityRogelio Segovia
 
Presentaion on Report for Financial Terminology
Presentaion on Report for Financial TerminologyPresentaion on Report for Financial Terminology
Presentaion on Report for Financial Terminologyrajgpl
 
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18Mark Goldstein
 
MEMS_and_microsystems_design_manufacture (1).pdf
MEMS_and_microsystems_design_manufacture (1).pdfMEMS_and_microsystems_design_manufacture (1).pdf
MEMS_and_microsystems_design_manufacture (1).pdfDrRishiJP
 
An Introduction to Semiconductors and Intel
An Introduction to Semiconductors and IntelAn Introduction to Semiconductors and Intel
An Introduction to Semiconductors and IntelDESMOND YUEN
 
Industrial IoT and OT/IT Convergence
Industrial IoT and OT/IT ConvergenceIndustrial IoT and OT/IT Convergence
Industrial IoT and OT/IT ConvergenceMichelle Holley
 
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptx
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptxonur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptx
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptxsivasubramanianManic2
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdfGirjeshVerma2
 
Wireless led notice board
Wireless led notice boardWireless led notice board
Wireless led notice boardRohith R
 

Similar to Introduction to Microelectronics (20)

09.50 Ernst Vrolijks
09.50 Ernst Vrolijks09.50 Ernst Vrolijks
09.50 Ernst Vrolijks
 
Smalltalk-80 : hardware and software
Smalltalk-80 : hardware and softwareSmalltalk-80 : hardware and software
Smalltalk-80 : hardware and software
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
Miniaturized Connectivity Solutions for Industry 4.0
 Miniaturized Connectivity Solutions for Industry 4.0 Miniaturized Connectivity Solutions for Industry 4.0
Miniaturized Connectivity Solutions for Industry 4.0
 
Embedded system Design
Embedded system DesignEmbedded system Design
Embedded system Design
 
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...Industrial IoT and the emergence of Edge Computing Navigating the Technologic...
Industrial IoT and the emergence of Edge Computing Navigating the Technologic...
 
Main report
Main reportMain report
Main report
 
MAJOR PROJEC TVLSI
MAJOR PROJEC TVLSIMAJOR PROJEC TVLSI
MAJOR PROJEC TVLSI
 
Vlsi
VlsiVlsi
Vlsi
 
Gourp 12 Report.pptx
Gourp 12 Report.pptxGourp 12 Report.pptx
Gourp 12 Report.pptx
 
Smart appliances EupP interoperability
Smart appliances EupP interoperabilitySmart appliances EupP interoperability
Smart appliances EupP interoperability
 
Presentaion on Report for Financial Terminology
Presentaion on Report for Financial TerminologyPresentaion on Report for Financial Terminology
Presentaion on Report for Financial Terminology
 
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18
IEEE CS Phoenix - Internet of Things Innovations & Megatrends Update 12/12/18
 
MEMS_and_microsystems_design_manufacture (1).pdf
MEMS_and_microsystems_design_manufacture (1).pdfMEMS_and_microsystems_design_manufacture (1).pdf
MEMS_and_microsystems_design_manufacture (1).pdf
 
ME189_Chapter 1.pdf
ME189_Chapter 1.pdfME189_Chapter 1.pdf
ME189_Chapter 1.pdf
 
An Introduction to Semiconductors and Intel
An Introduction to Semiconductors and IntelAn Introduction to Semiconductors and Intel
An Introduction to Semiconductors and Intel
 
Industrial IoT and OT/IT Convergence
Industrial IoT and OT/IT ConvergenceIndustrial IoT and OT/IT Convergence
Industrial IoT and OT/IT Convergence
 
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptx
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptxonur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptx
onur-comparch-fall2018-lecture3a-whycomparch-afterlecture.pptx
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Wireless led notice board
Wireless led notice boardWireless led notice board
Wireless led notice board
 

More from ITMO University

МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНА
МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ  ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНАМЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ  ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНА
МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНАITMO University
 
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ  АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ  АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...ITMO University
 
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ  ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ  ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...ITMO University
 
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМ
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМ
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМITMO University
 
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ ТЯЖЕЛОЙ ВОДЫ
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ  ТЯЖЕЛОЙ ВОДЫСПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ  ТЯЖЕЛОЙ ВОДЫ
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ ТЯЖЕЛОЙ ВОДЫITMO University
 
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХ
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХМЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХ
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХITMO University
 
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОК
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОКПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОК
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОКITMO University
 
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...ITMO University
 
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВ
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВМЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВ
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВITMO University
 
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...ITMO University
 
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...ITMO University
 
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...ITMO University
 
Информационная система «Забота о каждом»
Информационная система  «Забота о каждом» Информационная система  «Забота о каждом»
Информационная система «Забота о каждом» ITMO University
 
Проект "Я рядом"
Проект "Я рядом"Проект "Я рядом"
Проект "Я рядом"ITMO University
 
Проект «Театральный мост»
Проект «Театральный мост»Проект «Театральный мост»
Проект «Театральный мост»ITMO University
 
Студенческие инициативы в развитии ИКТ для старшего поколения
Студенческие инициативы в  развитии ИКТ для старшего  поколения Студенческие инициативы в  развитии ИКТ для старшего  поколения
Студенческие инициативы в развитии ИКТ для старшего поколения ITMO University
 
СОХРАНЁННОЕ РАДИО
СОХРАНЁННОЕ  РАДИОСОХРАНЁННОЕ  РАДИО
СОХРАНЁННОЕ РАДИОITMO University
 
Проект: «Разработка Системы Оценки и учёта Добровольческой Деятельности «СО...
Проект: «Разработка Системы Оценки и учёта  Добровольческой Деятельности  «СО...Проект: «Разработка Системы Оценки и учёта  Добровольческой Деятельности  «СО...
Проект: «Разработка Системы Оценки и учёта Добровольческой Деятельности «СО...ITMO University
 
«Нет преграды патриотам!»
«Нет преграды патриотам!»«Нет преграды патриотам!»
«Нет преграды патриотам!»ITMO University
 
Проект «Наш любимый детский сад»
Проект «Наш любимый детский сад»Проект «Наш любимый детский сад»
Проект «Наш любимый детский сад»ITMO University
 

More from ITMO University (20)

МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНА
МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ  ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНАМЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ  ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНА
МЕТОД ПОВЫШЕНИЯ ЧУВСТВИТЕЛЬНОСТИ ВОЛОКОННО-ОПТИЧЕСКОГО ГИДРОФОНА
 
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ  АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ  АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...
МЕТОДЫ ПОЛУЧЕНИЯ И СВОЙСТВА СЛОЕВ НА ОСНОВЕ АМОРФНОГО УГЛЕРОДА, ОРИЕНТИРУЮЩИ...
 
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ  ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ  ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...
ПРИМЕНЕНИЕ ДИСКРЕТНОГО КОСИНУСНОГО ПРЕОБРАЗОВАНИЯ ДЛЯ ПОСТРОЕНИЯ ГОЛОГРАММЫ ...
 
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМ
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМ
ПОГРЕШНОСТИ ИЗГОТОВЛЕНИЯ И УСТАНОВКИ ОТРАЖАТЕЛЬНЫХ ПРИЗМ
 
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ ТЯЖЕЛОЙ ВОДЫ
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ  ТЯЖЕЛОЙ ВОДЫСПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ  ТЯЖЕЛОЙ ВОДЫ
СПЕКТРОСКОПИЧЕСКОЕ И ТЕРМОДИНАМИЧЕСКОЕ ИССЛЕДОВАНИЕ ТЯЖЕЛОЙ ВОДЫ
 
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХ
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХМЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХ
МЕТРОЛОГИЧЕСКИЙ АНАЛИЗ В СОЦИАЛЬНЫХ СЕТЯХ
 
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОК
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОКПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОК
ПЕРСПЕКТИВЫ РАЗВИТИЯ ОПТИКИ ТОНКИХ ПЛЕНОК
 
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...
ИССЛЕДОВАНИЕ ПРОЦЕССОВ ФОРМИРОВАНИЯ ПОЛИМЕРНОГО МИКРОЭЛЕМЕНТА НА ТОРЦЕ ОПТИЧЕ...
 
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВ
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВМЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВ
МЕТОД ДИАГНОСТИКИ ФУНКЦИОНАЛЬНОЙ АКТИВНОСТИ ТКАНЕЙ И ОРГАНОВ БИООБЪЕКТОВ
 
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...
КОЛИЧЕСТВЕННАЯ ОЦЕНКА КАЧЕСТВА ИЗОБРАЖЕНИЙ С ИСПОЛЬЗОВАНИЕМ МЕТОДОВ НЕЧЕТКОЙ ...
 
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...
АЛГЕБРАИЧЕСКИЙ МЕТОД ОПРЕДЕЛЕНИЯ ПОЛНОГО МНОЖЕСТВА ПРОСТЫХ РАЗРЕЗОВ В ДВУХПОЛ...
 
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...
РЕКУРРЕНТНОЕ СИСТЕМАТИЧЕСКОЕ ПОМЕХОЗАЩИТНОЕ ПРЕОБРАЗОВАНИЕ КОДОВ: ВОЗМОЖНОСТИ...
 
Информационная система «Забота о каждом»
Информационная система  «Забота о каждом» Информационная система  «Забота о каждом»
Информационная система «Забота о каждом»
 
Проект "Я рядом"
Проект "Я рядом"Проект "Я рядом"
Проект "Я рядом"
 
Проект «Театральный мост»
Проект «Театральный мост»Проект «Театральный мост»
Проект «Театральный мост»
 
Студенческие инициативы в развитии ИКТ для старшего поколения
Студенческие инициативы в  развитии ИКТ для старшего  поколения Студенческие инициативы в  развитии ИКТ для старшего  поколения
Студенческие инициативы в развитии ИКТ для старшего поколения
 
СОХРАНЁННОЕ РАДИО
СОХРАНЁННОЕ  РАДИОСОХРАНЁННОЕ  РАДИО
СОХРАНЁННОЕ РАДИО
 
Проект: «Разработка Системы Оценки и учёта Добровольческой Деятельности «СО...
Проект: «Разработка Системы Оценки и учёта  Добровольческой Деятельности  «СО...Проект: «Разработка Системы Оценки и учёта  Добровольческой Деятельности  «СО...
Проект: «Разработка Системы Оценки и учёта Добровольческой Деятельности «СО...
 
«Нет преграды патриотам!»
«Нет преграды патриотам!»«Нет преграды патриотам!»
«Нет преграды патриотам!»
 
Проект «Наш любимый детский сад»
Проект «Наш любимый детский сад»Проект «Наш любимый детский сад»
Проект «Наш любимый детский сад»
 

Recently uploaded

Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 

Recently uploaded (20)

Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 

Introduction to Microelectronics

  • 1. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Introduction to Microelectronics Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich VLSI I: Architectures of VLSI Circuits last update: April 8, 2009 Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 2. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Worldwide semiconductor market by vendors (2007) Revenue Share Share Rank Vendor [GUSD] [%] [%] 1 Intel 33.80 12.3 2 Samsung Electronics 20.46 7.5 3 Toshiba 11.82 4.3 4 Texas Instruments 11.77 4.3 5 Infineon + Qimonda 10.20 3.7 6 ST-Microelectronics 9.97 3.6 7 Hynix 9.10 3.3 8 Renesas 8.00 2.9 9 AMD 5.88 2.1 10 NXP 5.87 2.1 ... others 147.05 53.8 Total 237.91 100 0.49 for comparison World GDP (2006) 48 462 100 source: Gartner March 2008 and www.worldbank.org May 2008 Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 3. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Economic leverage of semiconductors I Microelectronics has a much larger impact on world economy, however, because it is acting as a technology driver for Computer and software industry Telecommunications and media industry Commerce, logistics and transportation Natural science and medicine Power generation and distribution Finance and administration Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 4. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Economic leverage of semiconductors II EDA software & virtual components Semiconductor components Electronic components Electronic goods (computers, mobile phones, home entertainment equipment, etc.) Applications: • Goods with embedded electronics (machines, cars, cameras, watches, etc.) • Information technology services (corporate IT, Internet, music download, etc.) World-wide gross domestic product 2006: 48.2 TUSD/y 2006: 248 GUSD/y Figure: Impact of microelectronics on “downstream” industries and services. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 5. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Microelectronics drives the information age Microelectronics has an enormous economic leverage as any progress there spurs innovations in “downstream” industries and services. While computing, telecommunication, and entertainment products existed before the advent of microelectronics, today’s information society would not have been possible without. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 6. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Microelectronics drives the information age Microelectronics has an enormous economic leverage as any progress there spurs innovations in “downstream” industries and services. While computing, telecommunication, and entertainment products existed before the advent of microelectronics, today’s information society would not have been possible without. ⇒ Microelectronics is the enabler of information technology. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 7. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Impact of semiconductors on consumer goods I Figure: Four products that take advantage of microelectronics. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 8. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Impact of semiconductors on consumer goods II Figure: Similar products that include no large-scale integrated circuits. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 9. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Impact of semiconductors on consumer goods III Figure: A product that has brought system integration to even higher levels. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 10. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The Guiness book of records point of view “How large is that circuit?” Geometric chip size Transistor count Gate-equivalents 1 GE → 1 two-input nand → 4 MOSFETs in static CMOS logic Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 11. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The Guiness book of records point of view “How large is that circuit?” Geometric chip size Transistor count Gate-equivalents 1 GE → 1 two-input nand → 4 MOSFETs in static CMOS logic circuit complexity GEs of logic + bits of memory small-scale integration (SSI) 1 ... 10 medium-scale integration (MSI) 10 ... 100 large-scale integration (LSI) 100 ... 10 000 very-large-scale integration (VLSI) 10 000 ... 1 000 000 ultra-large-scale integration (ULSI) 1 000 000 ... Hint: state storage capacities separately from logic complexity, e.g. 75 000 GE of logic + 32 Kibit SRAM + 512 bit flash ≈ 108 000 GE Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 12. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view What you ought to know about logic families A logic family is a collection of digital subfunctions that • assemble to arbitrary logic, arithmetic and storage functions • are compatible among themselves electrically • share a common fabrication technology Acronym Meaning MOS Metal Oxide Semiconductor. FET Field Effect Transistor (n- or p-channel) BJT Bipolar Junction Transistor (npn or pnp) CMOS Complementary MOS (circuit or technology) static CMOS data stored in bistable subcircuits and retained dynamic CMOS data stored as electrical charges to be refreshed TTL Transistor Transistor Logic (BJTs & passive devices) ECL Emitter-Coupled Logic (non-saturating logic) BiCMOS CMOS & bipolar devices on a single chip Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 13. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view 2-input nand gate in various techno- logies e) OUP VCC GND IN1 IN2 g) bias section other gates shared with (NAND) OUP1 OUP2 (AND) IN1 IN2 VCC2 VEE VCC1 p-channel MOSFET n-channel voltage-controlled current source npn pnp current-controlled current source BJTdevice icon approximate behavior resistor diodedevice icon variations depletion device c) VDD VSS OUP IN1 IN2 b) OUP VDD VSS IN1 IN2 evolution technological Figure: Static CMOS (c), NMOS (b), early TTL (e), and ECL circuit (g). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 14. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The marketing point of view “How do functionality and target markets relate to each other?” General-purpose IC. Examples are either very simple or very generic. Simple circuit: gates, flip-flops, counters, etc. Generic functionality: RAMs, ROMs, microcomputers, FPL, etc. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 15. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The marketing point of view “How do functionality and target markets relate to each other?” General-purpose IC. Examples are either very simple or very generic. Simple circuit: gates, flip-flops, counters, etc. Generic functionality: RAMs, ROMs, microcomputers, FPL, etc. Application-specific integrated circuit (ASIC). Application-specific standard product (ASSP): designed for a specific task and sold to various customers. Examples: graphics accelerators, cellular radio chip sets, smart card chips, etc. User-specific integrated circuit (USIC): designed and produced for a single company. Examples: audio processor for hearing aids, etc. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 16. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view A first IC classification scheme counter logic gate SSI MSI LSI VLSI ULSI multiplier parallel complexity hardware . . . . "system on a chip (SoC) " . . . . functionality glue logic mobile radio base-band processor compressor video data digital filter error-correcting encoder/decoder transceiver spatial diversity . . . . memory [and still more memory] . . . . . . . . program-controlled processor . . . .interface computer . . . . field-programmable logic devices . . . . (before getting programmed) general purpose application- specific Figure: ICs classified as a function of functionality and hardware complexity. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 17. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view A first glimpse at VLSI manufacturing a) unprocessed wafer b) most masks shared with other designs few masks made to order for one design all masks made to order for one design preprocessed wafer Figure: Full-custom (a) and semi-custom (b) masks sets compared. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 18. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Semi-custom fabrication I preprocessed master a) Figure: Prefabricated gate array site. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 19. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Semi-custom fabrication II b) custom metallization + Figure: Custom contact and metal masks. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 20. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Semi-custom fabrication III c) customized circuit = not used not used Figure: Site customized into a 2-input NAND gate. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 21. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Evolution of semi-custom floorplans a) predefined routing channel b) input/output pad routing channel only where needed unutilized areas GA SOG utilized devices availability metal layers of multiple row of prefabricated transistor pairs row of prefabricated gate-array sites Figure: Channeled gate-array (a) versus channelless semi-custom circuits (b). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 22. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Field-programmable logic No dedicated layout structures, no dedicated photomasks. Customization is via purely electrical means. “Programmable” is a misnomer as there is no instruction sequence to execute. “Configurable” is more accurate as pre-manufactured subcircuits are made to form the target circuit. All configuration technologies today have their roots in semiconductor memory technology. Benefits compared to mask-programmed ASICs: Easy and extremely fast to modify (highly agile). Solutions for testability, I/O subcircuits, clock and power distribution, embedded memories, etc. all come at no extra effort shut in the FPL component. ⇒ FPL can be thought as “soft hardware”. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 23. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The fabrication point of view (summary) “To what extent is a circuit manufactured to user specs?” Full-custom IC: all fabrication layers, full set of photomasks. Semi-custom IC (gate array, sea-of-gates, structured ASIC): a few metal layers only, subset of photomasks. Field-programmable logic (SPLD, CPLD, FPGA): customization occurs electrically, no masks involved. Standard part: catalog part with no customization whatsoever aka commercial off-the-shelf (COTS) component. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 24. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view The design engineer’s point of view “Which levels of detail are being addressed during a part’s design?” Hand layout: Desired geometric shapes manually drawn to scale. Cell-based design by means of schematic entry: Manual schematic entry automatic place & route. Automatic circuit synthesis: Manual HDL or SW code writing automatic netlist generation. Logic synthesis Register transfer level (RTL) synthesis Architecture or high-level synthesis Design with virtual components: Purchase of HDL code automatic netlist generation. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 25. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Views of a library cell (or of any other subcircuit) a) INA INB INC OUP architecture procedural of nor3 is begin end procedural; OUP <= not (INA or INB or INC) after tpd; entity nor3 is port ( INA, INB, INC : in StdLogic; OUP : out StdLogic ); generic ( tpd : time := 1.0 ns ); end nor3; b) 0 0 0 1 1 0 0 0 1 0 1 0 0 1 0 0 1 0 stimuli responses 1 0 0 0 0 1 INA OUPINB INC c) d) INA INB INC OUP e) INA OUPINB INC INA OUPINB INC VSS VDD VSS VDD INA OUPINB INC INA OUPINB INC VSS VDD VSS VDD f) Figure: Icon (a), simulation model (b), test vector set (c), transistor-level schematic (d), detailed layout (e), and cell abstract (f). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 26. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Typical cell mix in a full-custom IC std cell std cell std cell std cell std cell standard cell row with over-the-cell routing F4 A F4 B F1 C F1 D F1 A F1 B F4 C F4 D F2 C F2 D F2 B F2 A F3 C F3 D F3 B F3 A megacell macrocell megacell megacell megacell macrocell CLK RST Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 27. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Automatic circuit synthesis I Logic synthesis accepts logic equations, truth tables, and state graphs. Generates gate-level netlists for combinational logic and for finite state machines (FSM). ⇒ Absorbed in today’s EDA flows. Register transfer level (RTL) modelling: Circuit viewed as a network of storage elements — registers and possibly also RAMs — that are held together by combinational building blocks. Behavioral specifications allowed to include arithmetic functions, string operations, arrays, enumerated types, and other more powerful constructs. ⇒ Introduced in the early 1990s, universally adopted. Parametrized and portable designs favor reuse. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 28. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Automatic circuit synthesis II b) architecture procedural of patternmatch is begin signal PREST : Std_Logic_Vector(0 to 5); end architecture procedural; process (CLK,CLR) is begin if CLR=’1’ then allbits : for i in 1 to 5 generate end process; elsif CLK’event and CLK=’1’ then end generate; PREST(i) <= PREST(i-1); end if; PREST(0) <= INP; PREST(i) <= ’0’; OUP <= true when PREST(1 to 5)="11011" else false; D Q Q CLR CLK D Q Q CLR CLK D Q Q CLR CLK D Q Q CLR CLK D Q Q CLR CLK c) a) ROM + | - | 0 * +1 w w v 2w+3 Figure: RTL diagram (a), RTL synthesis model (b), and gate-level schematic (c) (simplified, note that (a) and (b) refer to different circuits). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 29. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Automatic circuit synthesis III Architecture synthesis starts from a purely behavioral data processing algorithm. Source code includes no explicit indications for how to marshal data processing operations and hardware resources. Works in five major phases: 1. Identify the computational and storage requirements. 2. From a virtual library, select a suitable building block for each kind of processing and storage operation. 3. Establish a cycle-based schedule for carrying out the algorithm. 4. Decide on a hardware organization able to execute the resulting work plan. 5. Keeping track of data moves and operations for each clock cycle, translate into the necessary instructions for RTL synthesis. ⇒ Does not always yield optimal results, active field of research. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 30. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Virtual components VCs (aka intellectual property modules or cores) are HDL synthesis packages made available to others on a commercial basis: Vendor develops a major function into a synthesis model for sale. Licensee buys VC, incorporates it into his design, then carries out all the rest, i.e. synthesis, place and route (P&R), and overall verification. VCs are portable across fabrication technologies (soft modules), standard/macro/megacells are process-specific (hard modules). Most VCs implement fairly common subfunctions, parametrization is sought to cover more applications. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 31. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Virtual components VCs (aka intellectual property modules or cores) are HDL synthesis packages made available to others on a commercial basis: Vendor develops a major function into a synthesis model for sale. Licensee buys VC, incorporates it into his design, then carries out all the rest, i.e. synthesis, place and route (P&R), and overall verification. VCs are portable across fabrication technologies (soft modules), standard/macro/megacells are process-specific (hard modules). Most VCs implement fairly common subfunctions, parametrization is sought to cover more applications. Examples: processor cores, all sorts of filters, audio and/or video en/decoders, cipher functions, error correction en/decoders, USB, FireWire, and other interfaces. ⇒ VCs have given rise to a new industry since the late 1990s. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 32. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view A second IC classification scheme Fabricat. Electrical Semi-custom Full-custom depth configuration fabrication fabrication Design Cell-based as obtained from Hand layout level ◦ synthesis with VCs in HDL form, ◦ synthesis from captive HDL code, ◦ schematic entry, or a mix of these Product Field- Gate-array, Std. cell Full-custom name programmable sea-of-gates, IC IC logic device or structured (FPGA, CPLD) ASIC IC families as a function of fabrication depth and design abstraction level. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 33. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Electronic system-level (ESL) design automation Pressure towards better design productivity has incited the industry to look at design automation from a wider perspective. Correct-by-construction methodology by supporting progressive refinement starting with a virtual prototype Explore the architectural solution space more systematically and more rapidly than with RTL synthesis methods. Make it possible to start software development before hardware design is completed. Improve the coverage and efficiency of functional verification by dealing with system-level transactions and by taking advantage of formal verification. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 34. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Players in semiconductor markets I Our final question relates to business. “How are the industrial activities shared between business partners?” Traditional business model: Integrated device manufacturer (IDM): a chip vendor who operates his own wafer processing facilities. Examples: Intel, Toshiba, Samsung, ST-Microelectronics, IBM semiconductors, austriamicrosystems, etc. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 35. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Players in semiconductor markets II More recent business models support more narrow specialization: Silicon foundry: a company that operates a wafer processing line and that offers its manufacturing services to others. Examples: TSMC, UMC, etc. Fabless chip vendor: develops and markets proprietary semiconductor components but has their manufacturing commissioned to an independent silicon foundry. Examples: Altera and Xilinx (FPL), Broadcom (networking), Cirrus Logic/Crystal (audio and video chips), Nvidia (graphics chips), Ramtron (non-volatile memories). Fab-lite chip vendor: retains just the limited and specialized manufacturing capabilities to integrate sensors, actuators, RF components, or photonic devices, in a silicon substrate along with electronic circuitry. Examples: Sensirion, Luxtera. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 36. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view Players in semiconductor markets III Virtual component vendor: a company that develops synthesis packages and licenses them to others for incorporation into their own ICs. Examples: ARM, Sci-worx, Synopsys (former InSilicon). System house: a company that integrates both hardware and software into their products. Hardware is based on microprocessors, memories, ASSPs and FPGAs. USICs are being designed iff they provide a competitive advantage. Examples: Apple (media players), Cisco (network equipment), Landis+Gyr (energy meters), Valeo (automotive). Many small and medium-sized electronics companies (typical for Europe) operate as system houses. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 37. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Guiness book of records point of view The marketing point of view The fabrication point of view The design engineer’s point of view The business point of view What has made these new business models possible? Three factors came together to make fabless operation possible: Generous integration densities at low costs. Proliferation of high-performance engineering workstations and EDA software Availability of know-how in VLSI design outside IC manufacturing companies (this course). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 38. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries The Y-chart physical perspective back-end design behavioral perspective structural perspective front-end design physical perspective behavioral perspective structural perspective transfer functions subtasks truth tables, state graphs data moves and operations transistors, wires gates, latches, flip-flops ALUs, registers, memories standard cells, macro cells detailed layout mask polygons, chip or board placement and routing electrical logic (aka gate-level) architecture system register transfer levels of abstraction floorplan, partitioning goal start algorithm and I/O top blocks subblocks Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 39. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries More design views c) architecture seriesexpansion of cosine is begin process (theta) is begin sum := 1.0; end process; end architecture seriesexpansion; end loop; result <= sum; variable sum, term : real; variable n : natural; term := 1.0; n := 0; while abs term > abs (sum / 1.0E6) loop n := n+2; term := (-term)*theta**2 / real(((n-1)*n)); sum <= sum+term; b) e) Uoup inpU trellis trace unit back survivor memory branch metric unit comput. add- compare- units select path metric I memory path metric II memory f) a) d) A B C state graph (models reactive behavior) data dependency graph (models transformatorial behavior) local controller local controller local controller super- visory controller Figure: Floorplan (a), software model (b), encapsulated chip (c), graphical formalisms (d), transfer characteristic (e), and block diagram (f) (simplified). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 40. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Electronic system-level design flow system-leveldesign collection of algorithms along with data formats ASIC or programmable IC program-controlled processor over a single consistent data base? truly portable and amenable to synthesis with good results? behavioral modelling and simulation model libraries for various subfunctions control flow, cooperat. finite state machines protocols, user interfaces ressource and/or instruction set planning algorithms and system archi- tecture design abstract mathematical models subject to successive refinement data networks, congestions, traffic, queues effects from finite word sizes, scaling filter synthesis, filtering, correlation error correction coding, modulation source coding, compression data key distribution, ciphering, authentication clock recovery synchronization, is there an agreed-on system-level design language (SLDL)? is there any broadly accepted formalism for specification? • exploration, • fast prototyping, and • validation of algorithms and system architectures implies addressing a subset of the issues shown here code generator for signal- or microprocessor machine code to processor from marketing and customers HDL generator for hardware synthesis bit-true HDL model system specifications SystemC may provide an answer - interactive resource allocation - automatic scheduling - automatic binding - translation to RTL model UML may provide a workable solution Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 41. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries System-level design Decisions taken at this stage determine the final outcome more than anything else: Specify the functionality and characteristics of the system to be Partition the system’s functionality into subtasks Explore alternative hardware and software tradeoffs Decide on make or buy for all major building blocks Decide on interfaces and protocols for data exchange Decide on data formats, operating modes, exception handling, etc. Define, model, evaluate and refine the various subtasks Result: System-level model. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 42. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Algorithm design Streamline computations in view of their implementation in hardware: Cut down computational burden and memory requirements Find compromises between computational complexity and accuracy Contain effects due to finite word-length computation Decide on number representation schemes Evaluate alternatives and selecting the one best suited Quantify the minimum required computational resources Result: Bit-true software model. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 43. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Digital VLSI design flow (front-end)frontenddesign pre-layout timing verification preliminary timing estimation fault grading design architecture gate-level netlist (1) [or schematics] arith./logic ops code of regs and specifications test vector generation insertion of test structures autom.testinsertion from system-level development formal equivalence check block diagram high-level [or HDL code] electrical rule check (ERC) HDLsynthesis calculation delay cell and wire delays floorplan overall beha- vioral simulation inp. to outp. mapping block-level be- havioral simul. transaction-based register transfer level simulation cycle-true simulation gate-level event-driven behavioral modelling (algorithm with software model data formats) gate-level netlist (2) RTL design incl. macrocell preparation logic design and optimization estimation of die size and major cost factors preliminary power estimation high-levelsynthesis floorplanning, and pinout package selection Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 44. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Architecture design I Take important high-level decisions: Partition a computational task in view of a hardware realization. Organize the interplay of the various subtasks. Allocate hardware resources to each subtask (allocation). Define datapaths and controllers. Decide between off-chip RAMs, on-chip RAMs and registers. Decide on communication topologies and protocols (parallel, serial). Define how much parallelism to provide in hardware. Decide where to opt for pipelining and to what degree. Decide on a circuit style and fabrication process. Get a first estimate of the circuit’s size and cost. Results: High-level block diagram and preliminary floorplan. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 45. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Architecture design II Work out lower-levels details of an architecture by deciding: How to implement arithmetic and logic units? Whether to use hardwired logic or microcode for a controller? When to use a ROM rather than random logic? What operations to perform during which clock cycle (scheduling)? What operations to carry out on which processing unit (binding)? What clocking discipline to adopt? What time interval to use as the basic clock period? Where to prefer a bidirectional bus over two unidirectional ones? By what test strategy to ensure testability? How to initialize the circuit? Results: Set of more detailed diagrams and verified RTL code. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 46. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Digital VLSI design flow (back-end) backenddesign preliminary cell placement largelyautomatedphysicaldesigntools detailed routing chip abstract layout formal equivalence check floorplan substitution of detailed layout for cell abstracts chip detailed layout extraction of devices and interconnect layout versus schematic (LVS) substitution of detailed circuits for cell icons automaticlayoutmerge to IC manufacturing © hk 2.07.07 gate-level netlist (2) placement and gate netlist (3) transistor-level netlist back-annotated extraction of cell abstracts and interconnect layout versus schematic (LVS) layout/design rule check (DRC) post-layout timing verification logic simulation post-layout event-driven calculation delay analysis signal integrity gate-level netlist back-annotated cell and wire delays analysis power grid manufacturability analysis DRC and/or padframe constr., power distribution, initial placement drawing of bonding diagram to IC packaging bonding diagram reoptimization and rebuffering of logic clock tree insertion placement and gate netlist (4) rebuffering, hold time fixing, and rerouting gate-level netlist (5) final preliminary abstract layout Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 47. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Physical design Steps Floorplanning (begins during front-end design) Padframe generation and power distribution Intial placement of cells Reoptimization and rebuffering Clock tree insertion Detailed routing Rebuffering and hold time fixing Chip assembly (global routing) Substitution of detailed layout for cell abstracts Result: Polygon layout data for mask preparation (GDS II). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 48. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Physical design verification Prior to fabrication, all layout data need to be checked to protect against fatal mishaps. The set of instruments available includes: Check conformity of layout with geometric rules (DRC) Search for patterns likely to be detrimental to yield Layout extraction [re-]obtains the actual circuit netlist Layout-versus-schematic (LVS) Post-layout timing verification Post-layout simulation Result: Either proof of geometric integrity or error list. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 49. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries The leitmotiv of VLSI design Any design flaw found after tapeout or, even worse, after prototype fabrication wastes important amounts of time and money. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 50. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries The leitmotiv of VLSI design Any design flaw found after tapeout or, even worse, after prototype fabrication wastes important amounts of time and money. Redesigns are so devastating that the entire semiconductor industry is committed to “first-time-right” design as a guiding principle. VLSI engineers typically spend much more time verifying a circuit than actually designing it. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 51. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Cell libraries I librarydevelopment © hk 18.4.02 layout versus schematic (LVS) purchaseofcelllibrary transistor-level schematics design transistor level circuit extraction leaf cell layouts circuit simulation continuous time layout design at detail level cell characterization transistor-level netlists with layout parasitics leaf cell timing models flow of design data corrective action by designer based on feedback information design automation shortcuts behavioral aspects structural aspects physical aspects construction verification list of leaf cells to be behavioral modelling leaf cell functional models target cell library directly contribute to design decisions and/or process data manufacturability analysis DRC and/or Figure: Library design flow. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 52. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Cell libraries II The views required for each cell in a library include: Datasheet with functional, electrical and timing specs. Graphical icon or symbol. Accurate behavioral models for simulation and timing analysis. Set of simulation and test vectors. Transistor-level netlist or schematic. Detailed layout. Simplified layout showing only cell outline and connector locations (known as cell abstract, floorplanning abstract, or phantom cell). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 53. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families The Y-chart, a map of digital electronic systems Major stages in VLSI design Cell libraries Cell libraries III Designing, characterizing, documenting, and maintaining a cell library is a considerable effort. To protect their investments, library vendors are not willing to disclose how their cells are constructed internally. Vendors thus supply only cell abstracts. Detailed layouts are to be substituted for all abstracts by the vendor before mask preparation can begin. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 54. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Field-programmable logic (FPL) configuration technologies a) static memory cell electronic switch c) layout view (to be blown or not narrow constriction during programming) d) cross section base material thin dielectric layer (to be ruptured or not during programming) metal metal b) control gate (used for programming only) floating gate (acting as charge trap) cross section metal metal source drain Figure: Configuration storage is adapted from semiconductor memories. ◦ SRAM: Switch steered by static memory cell (a), ◦ Flash: MOSFET controlled by trapped charge (b), ◦ PROM: fuse (c) and antifuse (d). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 55. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Field-programmable logic (FPL) configuration technologies (continued) Non Live at Reconfi- Unlimit. Area Extra Configuration vola- power gurable endu- occupation fabr. technology tile up rance per link steps SRAM no no in ckt yes large 0 UV-erasable yes yes out of no small 3 EPROM circuit in array Electr. erasable yes yes in ckt > 5 EEPROM no 2·EPROM Flash memory no ≈EPROM Antifuse PROM yes yes no n.a. small 3 Ideal yes yes in ckt yes zero 0 Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 56. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Unlimited reprogrammability has its drawback Storing the FPL configuration is an SRAM-type memory implies that the configuration gets lost whenever the circuit is powered down. The problem is solved in one of three possible ways: (a) by reading from a dedicated off-chip ROM (bit-serial or bit-parallel), (b) by downloading a bit stream from a host computer, or (c) by long-term battery backup. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 57. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Complex programmable logic devices (CPLD) equivalent to one SPLD programmable interconnect CPLD c) AND plane OR plane PLA inputs outputs a) logic programmable AND plane OR plane SPLD flip-flops & feedback inputs outputs b) programmable feedback logic programmable evolution technological evolution technological flip-flops&feedback AND plane OR plane configurable I/O cell Figure: General architecture of CPLDs (c) along with precursors (a,b). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 58. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Field-programmable logic devices (FPGA) Overall organization patterned after mask-programmed gate-arrays. logic cell config. switch box conf. configurable I/O cell wires FPGA wires Figure: General architecture of FPGAs. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 59. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Fine-grained FPGAs A few logic gates and/or one bistable per configurable logic cell. Actel logic tile INP1 as clock INP2 may serve OUP1 to local routing OUP2 to long routing may serve INP3 as reset a) subcircuits controlled by configuration bits Figure: Example: logic tile from Actel ProASIC. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 60. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Coarse-grained FPGAs Combinational functions of four or more variables, two or more bits stored per configurable logic cell. b) Xilinx slice LUT config. D Q ENA SR REV CLK or XQ X XMUX XB F5 YQ Y YMUX YB FX D Q CLK G1 G2 G3 G4 F1 F2 F3 F4 SR CE BY BX FXINA FXINB CIN LUT config. ENA SR REV CLK or Figure: Example: logic slice from Xilinx Virtex-4 (4-input LUTs, 2 bistables). Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 61. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow There is a general trend towards coarser granularities Figure: LUT granularity trade-offs at the 65 nm technology node. The optimum trade-off for LUTs has shifted from 4 to 6 inputs over the last couple of process generations. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 62. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Example I: Logic slice from Xilinx Virtex-5 Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 63. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Example II: Adaptive logic module from Altera Stratix II Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 64. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Commercial products overall organization of hardware resources configuration CPLD FPGA technology coarse grained fine grained static Xilinx Spartan, Virtex. Atmel AT6000, memory Lattice SC, EC, ECP. AT40K. (SRAM) Altera FLEX, APEX, Stratix, Cyclone. eASIC Nextreme SL UV-erasable Cypress MAX340 (EPROM) (discontinued) electrically Xilinx XC9500, Lattice XP Actel ProASIC erasable CoolRunner-II. MACH XO. ProASICPLUS, (flash) Altera MAX3000, 7000. Fusion Lattice MACH 1,...,5. Igloo. Cypress Delta39K, Ultra37000. antifuse QuickLogic Eclipse II, Actel MX, (PROM) PolarPro. Axcelerator AX. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 65. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Watch out! Capacity figures of FPL and of semi-custom ICs may be confusing. Manufactured gates: Total number of GEs physically present on a die. Usable gates: Maximum number of GEs that are usable under typical or best case conditions. The exact percentage depends on the application, advertisements tend to exaggerate. Actual gates: GEs that are indeed put to service by a given design, corresponds to the GEs for a cell-based full-custom IC. GEmanufactured > GEusable > GEactual Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 66. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Watch out! Capacity figures of FPL and of semi-custom ICs may be confusing. Manufactured gates: Total number of GEs physically present on a die. Usable gates: Maximum number of GEs that are usable under typical or best case conditions. The exact percentage depends on the application, advertisements tend to exaggerate. Actual gates: GEs that are indeed put to service by a given design, corresponds to the GEs for a cell-based full-custom IC. GEmanufactured > GEusable > GEactual ⇒ Carry out benchmarks with representative designs as this helps to make better cost calculations, obtain realistic timing figures, avoid misguided choices. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 67. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Some FPGAs also include wide datapath units (MAC) Figure: Example: DSP48E slice from Xilinx Virtex-5. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 68. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow Further extensions Many commercial parts include field-programmable logic plus hardwired SRAMs, FIFOs, clock recovery circuits, etc. hardwired microprocessor and DSP cores (e.g. PowerPC, ARM), hardwired standard interface circuits (PCI, USB, FireWire, Ethernet, WLAN, JTAG, LVDS, etc.) hardwired analog-to-digital and digital-to-analog converters, configurable analog building blocks (such as filters, for instance), field-programmable analog arrays (FPAA) built from OpAmps, capacitors, resistors and switchcap elements, combinations of the above. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 69. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow FPL design flow Front-end flow (architecture design, HDL coding, functional verification) is much the same for FPGAs and CPLDs as for ASICs. Back-end flow differs to some extent. 1. Netlist obtained from HDL synthesis is mapped onto configurable blocks available in the target device. 2. Interconnect gets implemented using the wires, switches and drivers available. 3. Result is converted into a configuration bit stream for download into the FPL device. 4. FPL vendors make available proprietary tools for the above procedure. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 70. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Configuration technologies Organization of hardware resources Commercial products Design flow FPL design flow Front-end flow (architecture design, HDL coding, functional verification) is much the same for FPGAs and CPLDs as for ASICs. Back-end flow differs to some extent. 1. Netlist obtained from HDL synthesis is mapped onto configurable blocks available in the target device. 2. Interconnect gets implemented using the wires, switches and drivers available. 3. Result is converted into a configuration bit stream for download into the FPL device. 4. FPL vendors make available proprietary tools for the above procedure. ⇒ Hierarchy of required skills: Field-programmable logic ⊂ Semi-custom ICs ⊂ Full-custom ICs. Let us begin with topics that matter independently of fabrication depth. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 71. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Major semiconductor technologies and logic families The alphabet soup explained. Acronym Meaning MOS Metal Oxide Semiconductor. FET Field Effect Transistor (n- or p-channel) BJT Bipolar Junction Transistor (npn or pnp) NMOS n-channel MOS (transistor, circuit or technology) PMOS p-channel MOS (transistor, circuit or technology) CMOS Complementary MOS (circuit or technology) static CMOS data stored in bistable subcircuits and retained dynamic CMOS data stored as electrical charges to be refreshed TTL Transistor Transistor Logic (BJTs & passive devices) ECL Emitter-Coupled Logic (non-saturating logic) BiCMOS CMOS & bipolar devices on a single chip Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 72. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families 2-input nand gate in TTL technology TTL invented 1961 as an improvement over DTL and RTL. d) IN1 OUP IN2 e) OUP VCC GND IN1 IN2 f) GND VCC OUP IN1 IN2 evolution technological Figure: Icon (d), original multi-emitter circuit (e), and more recent F generation circuit (f). The auxiliary devices serve clamping and speed-up purposes. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 73. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families 2-input nand gate in ECL technology ECL invented 1956 as fast non-saturating current switching logic. resistor varicapdiodedevice icon p-channel MOSFET n-channel voltage-controlled current source npn pnp current-controlled current source BJTdevice icon approx. behavior Schottky device variations depletion device g) bias section other gates shared with (NAND) OUP1 OUP2 (AND) IN1 IN2 VCC2 VEE VCC1 Figure: Circuit (g) with schematic symbols used. Switching is by current steering without transistors entering saturation. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 74. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families 2-input nand gate in MOS technologies CMOS invented 1963 as an improvement over NMOS and PMOS with (close-to) zero quiescent power. a) VDD VSS IN1 IN2 OUP c) VDD VSS OUP IN1 IN2 b) OUP VDD VSS IN1 IN2 evolution technological Figure: PMOS (a), NMOS (b), and static CMOS (c) circuits. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics
  • 75. Economic impact Concepts and terminology Design flow in digital VLSI Field-programmable logic Appendix I: A brief glossary of logic families Why does CMOS technology dominate VLSI today? As first observed in 1972 by Robert Dennard Geometric down-scaling benefits layout density, operating speed, energy efficiency, and manufacturing costs per function. Simplicity and comparatively low power dissipation have allowed for integration densities not possible on the basis of BJTs. ⇒ After a start as a low-power but slow circuit alternative, CMOS has gradually displaced competing technologies and logic families. Dr. Hubert Kaeslin Microelectronics Design Center ETH Z¨urich Introduction to Microelectronics