SlideShare a Scribd company logo
1 of 2
Download to read offline
IJSRD - International Journal for Scientific Research & Development| Vol. 3, Issue 10, 2015 | ISSN (online): 2321-0613
All rights reserved by www.ijsrd.com 930
High Fault Coverage for On Chip Network Using Priority Based Routing
Algorithm
Parul Anand1
Chanpreet Kaur Toor2
1
Research Scholar 2
Assistant Professor
1,2
Department of Electronics and Communication Engineering
1,2
Chandigarh Engg. College Landran
Abstract— Network on chip is an interconnection between
several processing elements and routers. There are several
possibilities for the occurrence of faults within the network.
These faults degrade the performance of the network. In
order to increase the performance several fault tolerant
methods has been used. They involve themselves in
rerouting and hence take longer paths. To make the path
shorter, the router architecture has to be modified. For this
efficient routers are needed to take place communication
between these devices. This project, proposes a priority
based solution for a bufferless network-on-chip, including
an on-line fault-diagnosis mechanism to detect both
transient and permanent faults, a hybrid automatic repeat
request and forward error correction link-level error control
scheme to handle transient faults.
Key words: Chip network, Bufferless Network
I. INTRODUCTION
Network-on-Chip (NoC) has already become a promising
solution for integrating a large number of cores on a chip to
achieve high performance. However, as the CMOS
technology scales down to the nanometer domain, smaller
feature size, lower voltages and higher frequencies increase
the number of occurrence of intermittent and transient faults
besides manufacturing defects and wear out. NoC approach
has emerged as a promising solution for on-chip
communications to enable integrating various processors
and on-chip memories into a single chip.
The recent technology in VLSI has made the chip
to be much smaller in size. To make it possible, the inner
modules and their interconnections are brought into small
size. Networks-on-Chip (NoC) has emerged as a promising
solution for on-chip interconnection in Multi Core NoCs due
to its scalability, reusability, flexibility, and parallelism.
NoC consists of Network Interfaces, Routers, set of links
interconnecting the Routers and a defined communication
protocol for IP core interaction. NoC architecture design
follows the computation architecture design, which
partitions a behaviour model and maps it onto an
computation architecture model. The first step is
communication modelling and analysis. The second step is
topology and protocol design based on the communication.
Faults refer to the failure of the system. Transient
and permanent faults are two different types of faults that
can occur in on-chip networks.Transient faults are
temporary and unpredictable. They are often difficult to be
detected and corrected. Permanent faults are caused by
physical damages such as manufacturing defects and device
wear-out. These faults should be recovered or tolerated in a
way that the network continues functioning. A deterministic
routing algorithm uses a fixed path for each pair of nodes
resulting in increased packet latency especially in congested
networks.
In order to avoid transient and permanent faults a
fault-tolerant solution, including an on-line fault diagnosis
mechanism, a link-level error control scheme, and a fault
tolerant routing algorithm is proposed for the bufferless
NoC.
There are mainly three techniques to handle
transient faults in NoC and they are Automatic repeat
request (ARQ), Forward error correction (FEC), and Hybrid
ARQ (HARQ). Also transient faults can be handled at both
link-level and transport level. In ARQ-based error control
the packet is retransmitted if it is found to have errors. Such
packets are retransmitted until it is received error free. The
error detection is usually implemented through a cyclic
redundancy check (CRC). A simple errordetecting code is
applied to the packet before transmitting, and at the receiver
side a checksum will be calculated to ensure that no error
has occurred. If the checksum does not add up to the right
value, the packet is retransmitted.
The Efficient Rank Based fault-tolerant solution
guarantees zero lost packets as long as the fault pattern does
not cut the network into two or more disconnected parts.
Simulation results demonstrate that under synthetic
workloads, in the presence of permanent link faults, the
throughput of an 8 × 8 network with Priority-based routing
algorithm is 14% higher on average.
II. MINIMAL ROUTING ALGORITHM
The introduction of minimal routing algorithm for faults in
the network increases the overall performance of the
network. When we use the algorithm, it takes shortest path
regardless of the presence of faults. The proposed algorithm
is much simpler than the previous existing algorithm. It
provides link among the surviving routers in the network. It
proves to be more efficient even in the presence of multiple
faults. It has the ability to connect the routers both
horizontally and orthogonally even in the presence of faults.
The fault tolerant algorithm is used to tolerate the number of
faults present in the entire network. It supports the faulty
router by taking non minimal paths. Due to the
characteristics of adaptiveness, the data chooses different
path by bypassing the faulty router to reach the destination
router from the source router
III. FAULT-TOLERANT DEFLECTION ROUTING (FTDR)
ALGORITHM
In order to have a high throughput system one must have
system which should handle both transient faults and
permanent faults. In our existing work we have methods like
hybrid ARQ scheme to avoid transient faults. But we must
also incorporate algorithms which can handle permanent
faults. It has reduced delay over the network. It has proved
to be more reliable of 99.5% when multiple faults are found
in the network. It also helps to estimate the various
High Fault Coverage for On Chip Network Using Priority Based Routing Algorithm
(IJSRD/Vol. 3/Issue 10/2015/207)
All rights reserved by www.ijsrd.com 931
parameters such as reliability, latency, speed, area and
power. FTDR algorithm makes routing decision based on
the packet priority and routing table. First, the algorithm
always gives the highest priority to the oldest packet. Given
a network size and different fault patterns, the length of the
hop count must be enough to guarantee the priority can not
saturate. Second, it can be proved that the routing table entry
will converge to the minimum hops to each destination.
IV. CONCLUSION
In this project, I provided a Efficient Rank Based fault-
tolerant solution for a bufferless NoC to protect it from
faults and achieved low latency. It provide the required
communications at a low cost and system will be scalable
V. RESULTS
Without Fault
Fig. 1: Priority Based Routing
Fig. 2: Priority Based Routing Without Fault
Fig. 3: Output Waveforms for without fault routing
Fig. 4: Priority Based Routing with fault on Router1 at
EAST
Fig. 5: Output Waveforms with fault on Router1 at EAST
ACKNOWLEDGEMENT
I would like to thank” Ms.Channpreet Kaur Toor” under
whose guidance I completed my review paper. This review
would not have been possible without the support and
guidance given by her.
REFERENCES
[1] Jehosheba Margaret.M1, Mary Susanna. M2,
Rajapirian.P3,” FPGA BASED HIGHLY RELIABLE
FAULT TOLERANT APPROACH FOR NETWORK
ON CHIP (NOC)” IJRET: International Journal of
Research in Engineering and Technology eISSN: 2319-
1163 | pISSN: 2321-7308.
[2] Chaochao Feng1;2, Zhonghai Lu2, Axel Jantsch2,
Jinwen Li1, Minxuan Zhang1, “A Reconfigurable
Fault-tolerant Deflection Routing Algorithm Based on
Reinforcement Learning for Network-on-Chip”
[3] 1Mr. Vishnu K P, 2Mr. T Shanmuganathan,” FAULT
TOLERANT DEFLECTING ROUTER WITH HIGH
FAULT COVERAGE FOR ON-CHIP NETWORK”,
IJCSMC, Vol. 3, Issue. 3, March 2014, pg.470 – 477.
[4] Jehosheba Margaret.M1, Mary Susanna. M2,
Rajapirian.P3,” FPGA BASED HIGHLY RELIABLE
FAULT TOLERANT APPROACH FOR NETWORK
ON CHIP (NOC)” IJRET: International Journal of
Research in Engineering and Technology eISSN: 2319-
1163 | pISSN: 2321-7308.
[5] Chaochao Feng1;2, Zhonghai Lu2, Axel Jantsch2,
Jinwen Li1, Minxuan Zhang1, “A Reconfigurable
Fault-tolerant Deflection Routing Algorithm Based on
Reinforcement Learning for Network-on-Chip”

More Related Content

What's hot

Introduction of Wireless Sensor Network
Introduction of Wireless Sensor NetworkIntroduction of Wireless Sensor Network
Introduction of Wireless Sensor NetworkMuhammad Kaife Uddin
 
An algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor networkAn algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor networkeSAT Publishing House
 
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...iosrjce
 
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...DETER-Project
 
Interference Revelation in Mobile Ad-hoc Networks and Confrontation
Interference Revelation in Mobile Ad-hoc Networks and ConfrontationInterference Revelation in Mobile Ad-hoc Networks and Confrontation
Interference Revelation in Mobile Ad-hoc Networks and Confrontationirjes
 
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...ijceronline
 
A Rouge Relay Node Attack Detection and Prevention in 4G Multihop Wireless N...
A Rouge Relay Node Attack Detection and Prevention  in 4G Multihop Wireless N...A Rouge Relay Node Attack Detection and Prevention  in 4G Multihop Wireless N...
A Rouge Relay Node Attack Detection and Prevention in 4G Multihop Wireless N...IRJET Journal
 
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for Wir...
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for   Wir...IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for   Wir...
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for Wir...IRJET Journal
 
Grid-Based Multipath with Congestion Avoidance Routing Protocol
Grid-Based Multipath with Congestion Avoidance Routing ProtocolGrid-Based Multipath with Congestion Avoidance Routing Protocol
Grid-Based Multipath with Congestion Avoidance Routing Protocolijtsrd
 
International Journal of Engineering Research and Development (IJERD)
 International Journal of Engineering Research and Development (IJERD) International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Scalability in wireless sensor networks
Scalability in wireless sensor networksScalability in wireless sensor networks
Scalability in wireless sensor networkssourabhshivtiwari
 
Fault Node Recovery Algorithm for a Wireless Sensor Network
Fault Node Recovery Algorithm for a Wireless Sensor NetworkFault Node Recovery Algorithm for a Wireless Sensor Network
Fault Node Recovery Algorithm for a Wireless Sensor NetworkYogesh Vk
 
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...IRJET Journal
 
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...journalBEEI
 

What's hot (17)

Introduction of Wireless Sensor Network
Introduction of Wireless Sensor NetworkIntroduction of Wireless Sensor Network
Introduction of Wireless Sensor Network
 
An algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor networkAn algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor network
 
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...
Impact of Malicious Nodes on Throughput, Packets Dropped and Average Latency ...
 
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...
First Steps Toward Scientific Cyber-Security Experimentation in Wide-Area Cyb...
 
Interference Revelation in Mobile Ad-hoc Networks and Confrontation
Interference Revelation in Mobile Ad-hoc Networks and ConfrontationInterference Revelation in Mobile Ad-hoc Networks and Confrontation
Interference Revelation in Mobile Ad-hoc Networks and Confrontation
 
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...
Performance Enhancement of Intrusion Detection System Using Advance Adaptive ...
 
Mw2522122216
Mw2522122216Mw2522122216
Mw2522122216
 
A Rouge Relay Node Attack Detection and Prevention in 4G Multihop Wireless N...
A Rouge Relay Node Attack Detection and Prevention  in 4G Multihop Wireless N...A Rouge Relay Node Attack Detection and Prevention  in 4G Multihop Wireless N...
A Rouge Relay Node Attack Detection and Prevention in 4G Multihop Wireless N...
 
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for Wir...
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for   Wir...IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for   Wir...
IRJET-A Virtual Grid-Based Dynamic Routes Adjustment (VGDRA) Scheme for Wir...
 
Grid-Based Multipath with Congestion Avoidance Routing Protocol
Grid-Based Multipath with Congestion Avoidance Routing ProtocolGrid-Based Multipath with Congestion Avoidance Routing Protocol
Grid-Based Multipath with Congestion Avoidance Routing Protocol
 
International Journal of Engineering Research and Development (IJERD)
 International Journal of Engineering Research and Development (IJERD) International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Scalability in wireless sensor networks
Scalability in wireless sensor networksScalability in wireless sensor networks
Scalability in wireless sensor networks
 
MANET project
MANET projectMANET project
MANET project
 
Fault Node Recovery Algorithm for a Wireless Sensor Network
Fault Node Recovery Algorithm for a Wireless Sensor NetworkFault Node Recovery Algorithm for a Wireless Sensor Network
Fault Node Recovery Algorithm for a Wireless Sensor Network
 
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...
Real-Time, Fault Tolerance and Energy-Efficiency (REFER) Enhancement in Wirel...
 
Wsn
WsnWsn
Wsn
 
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...
Data Flow in Wireless Sensor Network Protocol Stack by using Bellman-Ford Rou...
 

Similar to High Fault Coverage For On Chip Network Using Priority Based Routing Algorithm

Fpga based highly reliable fault tolerant approach for network on chip (noc)
Fpga based highly reliable fault tolerant approach for network on chip (noc)Fpga based highly reliable fault tolerant approach for network on chip (noc)
Fpga based highly reliable fault tolerant approach for network on chip (noc)eSAT Publishing House
 
18068 system software suppor t for router fault tolerancelatex ieee journal s...
18068 system software suppor t for router fault tolerancelatex ieee journal s...18068 system software suppor t for router fault tolerancelatex ieee journal s...
18068 system software suppor t for router fault tolerancelatex ieee journal s...Ashenafi Workie
 
Overview of Various Industrial Fault Diagnosis Methods
Overview of Various Industrial Fault Diagnosis MethodsOverview of Various Industrial Fault Diagnosis Methods
Overview of Various Industrial Fault Diagnosis Methodsijtsrd
 
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks   Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks pijans
 
18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)Ashenafi Workie
 
A modified approach for secure routing and power aware in mobile ad hoc network
A modified approach for secure routing and power aware in mobile ad hoc networkA modified approach for secure routing and power aware in mobile ad hoc network
A modified approach for secure routing and power aware in mobile ad hoc networkDiksha Katiyar
 
“Reducing packet loss in manet”
“Reducing packet loss in manet”“Reducing packet loss in manet”
“Reducing packet loss in manet”Alexander Decker
 
SPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATIONSPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATIONIRJET Journal
 
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...eSAT Journals
 
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...eSAT Publishing House
 
Improved SCTP Scheme To Overcome Congestion Losses Over Manet
Improved SCTP Scheme To Overcome Congestion Losses Over ManetImproved SCTP Scheme To Overcome Congestion Losses Over Manet
Improved SCTP Scheme To Overcome Congestion Losses Over ManetIJERA Editor
 
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...IRJET Journal
 
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’s
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’sBlack Hole Detection in AODV Using Hexagonal Encryption in Manet’s
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’sIJMER
 
Migrating packet dropping in mobile ad hoc network based on modified ack-base...
Migrating packet dropping in mobile ad hoc network based on modified ack-base...Migrating packet dropping in mobile ad hoc network based on modified ack-base...
Migrating packet dropping in mobile ad hoc network based on modified ack-base...Alexander Decker
 
A Review on Congestion Control using AODV and Enhance AODV
A Review on Congestion Control using AODV and Enhance AODV          A Review on Congestion Control using AODV and Enhance AODV
A Review on Congestion Control using AODV and Enhance AODV IRJET Journal
 
Ballpark Figure Algorithms for Data Broadcast in Wireless Networks
Ballpark Figure Algorithms for Data Broadcast in Wireless NetworksBallpark Figure Algorithms for Data Broadcast in Wireless Networks
Ballpark Figure Algorithms for Data Broadcast in Wireless NetworksEditor IJCATR
 
Iisrt arunkumar b (networks)
Iisrt arunkumar b (networks)Iisrt arunkumar b (networks)
Iisrt arunkumar b (networks)IISRT
 
Enhanced Secure Routing Model for MANET
Enhanced Secure Routing Model for MANETEnhanced Secure Routing Model for MANET
Enhanced Secure Routing Model for MANETcscpconf
 

Similar to High Fault Coverage For On Chip Network Using Priority Based Routing Algorithm (20)

Fpga based highly reliable fault tolerant approach for network on chip (noc)
Fpga based highly reliable fault tolerant approach for network on chip (noc)Fpga based highly reliable fault tolerant approach for network on chip (noc)
Fpga based highly reliable fault tolerant approach for network on chip (noc)
 
18068 system software suppor t for router fault tolerancelatex ieee journal s...
18068 system software suppor t for router fault tolerancelatex ieee journal s...18068 system software suppor t for router fault tolerancelatex ieee journal s...
18068 system software suppor t for router fault tolerancelatex ieee journal s...
 
Overview of Various Industrial Fault Diagnosis Methods
Overview of Various Industrial Fault Diagnosis MethodsOverview of Various Industrial Fault Diagnosis Methods
Overview of Various Industrial Fault Diagnosis Methods
 
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks   Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks
Minimum Process Coordinated Checkpointing Scheme For Ad Hoc Networks
 
18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)18068 system software suppor t for router fault tolerance(word 2 column)
18068 system software suppor t for router fault tolerance(word 2 column)
 
A modified approach for secure routing and power aware in mobile ad hoc network
A modified approach for secure routing and power aware in mobile ad hoc networkA modified approach for secure routing and power aware in mobile ad hoc network
A modified approach for secure routing and power aware in mobile ad hoc network
 
Gokul
GokulGokul
Gokul
 
“Reducing packet loss in manet”
“Reducing packet loss in manet”“Reducing packet loss in manet”
“Reducing packet loss in manet”
 
SPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATIONSPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATION
 
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
 
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...Performance improvement of bottleneck link in red vegas over heterogeneous ne...
Performance improvement of bottleneck link in red vegas over heterogeneous ne...
 
Ijariie1170
Ijariie1170Ijariie1170
Ijariie1170
 
Improved SCTP Scheme To Overcome Congestion Losses Over Manet
Improved SCTP Scheme To Overcome Congestion Losses Over ManetImproved SCTP Scheme To Overcome Congestion Losses Over Manet
Improved SCTP Scheme To Overcome Congestion Losses Over Manet
 
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...
IRJET- Securing on Demand Source Routing Protocol in Mobile Ad-Hoc Networks b...
 
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’s
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’sBlack Hole Detection in AODV Using Hexagonal Encryption in Manet’s
Black Hole Detection in AODV Using Hexagonal Encryption in Manet’s
 
Migrating packet dropping in mobile ad hoc network based on modified ack-base...
Migrating packet dropping in mobile ad hoc network based on modified ack-base...Migrating packet dropping in mobile ad hoc network based on modified ack-base...
Migrating packet dropping in mobile ad hoc network based on modified ack-base...
 
A Review on Congestion Control using AODV and Enhance AODV
A Review on Congestion Control using AODV and Enhance AODV          A Review on Congestion Control using AODV and Enhance AODV
A Review on Congestion Control using AODV and Enhance AODV
 
Ballpark Figure Algorithms for Data Broadcast in Wireless Networks
Ballpark Figure Algorithms for Data Broadcast in Wireless NetworksBallpark Figure Algorithms for Data Broadcast in Wireless Networks
Ballpark Figure Algorithms for Data Broadcast in Wireless Networks
 
Iisrt arunkumar b (networks)
Iisrt arunkumar b (networks)Iisrt arunkumar b (networks)
Iisrt arunkumar b (networks)
 
Enhanced Secure Routing Model for MANET
Enhanced Secure Routing Model for MANETEnhanced Secure Routing Model for MANET
Enhanced Secure Routing Model for MANET
 

More from IJSRD

#IJSRD #Research Paper Publication
#IJSRD #Research Paper Publication#IJSRD #Research Paper Publication
#IJSRD #Research Paper PublicationIJSRD
 
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...Maintaining Data Confidentiality in Association Rule Mining in Distributed En...
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...IJSRD
 
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...Performance and Emission characteristics of a Single Cylinder Four Stroke Die...
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...IJSRD
 
Preclusion of High and Low Pressure In Boiler by Using LABVIEW
Preclusion of High and Low Pressure In Boiler by Using LABVIEWPreclusion of High and Low Pressure In Boiler by Using LABVIEW
Preclusion of High and Low Pressure In Boiler by Using LABVIEWIJSRD
 
Prevention and Detection of Man in the Middle Attack on AODV Protocol
Prevention and Detection of Man in the Middle Attack on AODV ProtocolPrevention and Detection of Man in the Middle Attack on AODV Protocol
Prevention and Detection of Man in the Middle Attack on AODV ProtocolIJSRD
 
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...IJSRD
 
Evaluation the Effect of Machining Parameters on MRR of Mild Steel
Evaluation the Effect of Machining Parameters on MRR of Mild SteelEvaluation the Effect of Machining Parameters on MRR of Mild Steel
Evaluation the Effect of Machining Parameters on MRR of Mild SteelIJSRD
 
Filter unwanted messages from walls and blocking nonlegitimate user in osn
Filter unwanted messages from walls and blocking nonlegitimate user in osnFilter unwanted messages from walls and blocking nonlegitimate user in osn
Filter unwanted messages from walls and blocking nonlegitimate user in osnIJSRD
 
Keystroke Dynamics Authentication with Project Management System
Keystroke Dynamics Authentication with Project Management SystemKeystroke Dynamics Authentication with Project Management System
Keystroke Dynamics Authentication with Project Management SystemIJSRD
 
Diagnosing lungs cancer Using Neural Networks
Diagnosing lungs cancer Using Neural NetworksDiagnosing lungs cancer Using Neural Networks
Diagnosing lungs cancer Using Neural NetworksIJSRD
 
A Survey on Sentiment Analysis and Opinion Mining
A Survey on Sentiment Analysis and Opinion MiningA Survey on Sentiment Analysis and Opinion Mining
A Survey on Sentiment Analysis and Opinion MiningIJSRD
 
A Defect Prediction Model for Software Product based on ANFIS
A Defect Prediction Model for Software Product based on ANFISA Defect Prediction Model for Software Product based on ANFIS
A Defect Prediction Model for Software Product based on ANFISIJSRD
 
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...IJSRD
 
Product Quality Analysis based on online Reviews
Product Quality Analysis based on online ReviewsProduct Quality Analysis based on online Reviews
Product Quality Analysis based on online ReviewsIJSRD
 
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy Numbers
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy NumbersSolving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy Numbers
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy NumbersIJSRD
 
Study of Clustering of Data Base in Education Sector Using Data Mining
Study of Clustering of Data Base in Education Sector Using Data MiningStudy of Clustering of Data Base in Education Sector Using Data Mining
Study of Clustering of Data Base in Education Sector Using Data MiningIJSRD
 
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...IJSRD
 
Investigation of Effect of Process Parameters on Maximum Temperature during F...
Investigation of Effect of Process Parameters on Maximum Temperature during F...Investigation of Effect of Process Parameters on Maximum Temperature during F...
Investigation of Effect of Process Parameters on Maximum Temperature during F...IJSRD
 
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a Rotavator
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a RotavatorReview Paper on Computer Aided Design & Analysis of Rotor Shaft of a Rotavator
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a RotavatorIJSRD
 
A Survey on Data Mining Techniques for Crime Hotspots Prediction
A Survey on Data Mining Techniques for Crime Hotspots PredictionA Survey on Data Mining Techniques for Crime Hotspots Prediction
A Survey on Data Mining Techniques for Crime Hotspots PredictionIJSRD
 

More from IJSRD (20)

#IJSRD #Research Paper Publication
#IJSRD #Research Paper Publication#IJSRD #Research Paper Publication
#IJSRD #Research Paper Publication
 
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...Maintaining Data Confidentiality in Association Rule Mining in Distributed En...
Maintaining Data Confidentiality in Association Rule Mining in Distributed En...
 
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...Performance and Emission characteristics of a Single Cylinder Four Stroke Die...
Performance and Emission characteristics of a Single Cylinder Four Stroke Die...
 
Preclusion of High and Low Pressure In Boiler by Using LABVIEW
Preclusion of High and Low Pressure In Boiler by Using LABVIEWPreclusion of High and Low Pressure In Boiler by Using LABVIEW
Preclusion of High and Low Pressure In Boiler by Using LABVIEW
 
Prevention and Detection of Man in the Middle Attack on AODV Protocol
Prevention and Detection of Man in the Middle Attack on AODV ProtocolPrevention and Detection of Man in the Middle Attack on AODV Protocol
Prevention and Detection of Man in the Middle Attack on AODV Protocol
 
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...
Comparative Analysis of PAPR Reduction Techniques in OFDM Using Precoding Tec...
 
Evaluation the Effect of Machining Parameters on MRR of Mild Steel
Evaluation the Effect of Machining Parameters on MRR of Mild SteelEvaluation the Effect of Machining Parameters on MRR of Mild Steel
Evaluation the Effect of Machining Parameters on MRR of Mild Steel
 
Filter unwanted messages from walls and blocking nonlegitimate user in osn
Filter unwanted messages from walls and blocking nonlegitimate user in osnFilter unwanted messages from walls and blocking nonlegitimate user in osn
Filter unwanted messages from walls and blocking nonlegitimate user in osn
 
Keystroke Dynamics Authentication with Project Management System
Keystroke Dynamics Authentication with Project Management SystemKeystroke Dynamics Authentication with Project Management System
Keystroke Dynamics Authentication with Project Management System
 
Diagnosing lungs cancer Using Neural Networks
Diagnosing lungs cancer Using Neural NetworksDiagnosing lungs cancer Using Neural Networks
Diagnosing lungs cancer Using Neural Networks
 
A Survey on Sentiment Analysis and Opinion Mining
A Survey on Sentiment Analysis and Opinion MiningA Survey on Sentiment Analysis and Opinion Mining
A Survey on Sentiment Analysis and Opinion Mining
 
A Defect Prediction Model for Software Product based on ANFIS
A Defect Prediction Model for Software Product based on ANFISA Defect Prediction Model for Software Product based on ANFIS
A Defect Prediction Model for Software Product based on ANFIS
 
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...
Experimental Investigation of Granulated Blast Furnace Slag ond Quarry Dust a...
 
Product Quality Analysis based on online Reviews
Product Quality Analysis based on online ReviewsProduct Quality Analysis based on online Reviews
Product Quality Analysis based on online Reviews
 
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy Numbers
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy NumbersSolving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy Numbers
Solving Fuzzy Matrix Games Defuzzificated by Trapezoidal Parabolic Fuzzy Numbers
 
Study of Clustering of Data Base in Education Sector Using Data Mining
Study of Clustering of Data Base in Education Sector Using Data MiningStudy of Clustering of Data Base in Education Sector Using Data Mining
Study of Clustering of Data Base in Education Sector Using Data Mining
 
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...
Fault Tolerance in Big Data Processing Using Heartbeat Messages and Data Repl...
 
Investigation of Effect of Process Parameters on Maximum Temperature during F...
Investigation of Effect of Process Parameters on Maximum Temperature during F...Investigation of Effect of Process Parameters on Maximum Temperature during F...
Investigation of Effect of Process Parameters on Maximum Temperature during F...
 
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a Rotavator
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a RotavatorReview Paper on Computer Aided Design & Analysis of Rotor Shaft of a Rotavator
Review Paper on Computer Aided Design & Analysis of Rotor Shaft of a Rotavator
 
A Survey on Data Mining Techniques for Crime Hotspots Prediction
A Survey on Data Mining Techniques for Crime Hotspots PredictionA Survey on Data Mining Techniques for Crime Hotspots Prediction
A Survey on Data Mining Techniques for Crime Hotspots Prediction
 

Recently uploaded

Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
Proudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxProudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxthorishapillay1
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
Pharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfPharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfMahmoud M. Sallam
 
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,Virag Sontakke
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfakmcokerachita
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerunnathinaik
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptx
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptxENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptx
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptxAnaBeatriceAblay2
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
Final demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxFinal demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxAvyJaneVismanos
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17Celine George
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon AUnboundStockton
 

Recently uploaded (20)

Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
Proudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxProudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptx
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
Pharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdfPharmacognosy Flower 3. Compositae 2023.pdf
Pharmacognosy Flower 3. Compositae 2023.pdf
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,भारत-रोम व्यापार.pptx, Indo-Roman Trade,
भारत-रोम व्यापार.pptx, Indo-Roman Trade,
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdf
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developer
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptx
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptxENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptx
ENGLISH5 QUARTER4 MODULE1 WEEK1-3 How Visual and Multimedia Elements.pptx
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
Final demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxFinal demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptx
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon A
 

High Fault Coverage For On Chip Network Using Priority Based Routing Algorithm

  • 1. IJSRD - International Journal for Scientific Research & Development| Vol. 3, Issue 10, 2015 | ISSN (online): 2321-0613 All rights reserved by www.ijsrd.com 930 High Fault Coverage for On Chip Network Using Priority Based Routing Algorithm Parul Anand1 Chanpreet Kaur Toor2 1 Research Scholar 2 Assistant Professor 1,2 Department of Electronics and Communication Engineering 1,2 Chandigarh Engg. College Landran Abstract— Network on chip is an interconnection between several processing elements and routers. There are several possibilities for the occurrence of faults within the network. These faults degrade the performance of the network. In order to increase the performance several fault tolerant methods has been used. They involve themselves in rerouting and hence take longer paths. To make the path shorter, the router architecture has to be modified. For this efficient routers are needed to take place communication between these devices. This project, proposes a priority based solution for a bufferless network-on-chip, including an on-line fault-diagnosis mechanism to detect both transient and permanent faults, a hybrid automatic repeat request and forward error correction link-level error control scheme to handle transient faults. Key words: Chip network, Bufferless Network I. INTRODUCTION Network-on-Chip (NoC) has already become a promising solution for integrating a large number of cores on a chip to achieve high performance. However, as the CMOS technology scales down to the nanometer domain, smaller feature size, lower voltages and higher frequencies increase the number of occurrence of intermittent and transient faults besides manufacturing defects and wear out. NoC approach has emerged as a promising solution for on-chip communications to enable integrating various processors and on-chip memories into a single chip. The recent technology in VLSI has made the chip to be much smaller in size. To make it possible, the inner modules and their interconnections are brought into small size. Networks-on-Chip (NoC) has emerged as a promising solution for on-chip interconnection in Multi Core NoCs due to its scalability, reusability, flexibility, and parallelism. NoC consists of Network Interfaces, Routers, set of links interconnecting the Routers and a defined communication protocol for IP core interaction. NoC architecture design follows the computation architecture design, which partitions a behaviour model and maps it onto an computation architecture model. The first step is communication modelling and analysis. The second step is topology and protocol design based on the communication. Faults refer to the failure of the system. Transient and permanent faults are two different types of faults that can occur in on-chip networks.Transient faults are temporary and unpredictable. They are often difficult to be detected and corrected. Permanent faults are caused by physical damages such as manufacturing defects and device wear-out. These faults should be recovered or tolerated in a way that the network continues functioning. A deterministic routing algorithm uses a fixed path for each pair of nodes resulting in increased packet latency especially in congested networks. In order to avoid transient and permanent faults a fault-tolerant solution, including an on-line fault diagnosis mechanism, a link-level error control scheme, and a fault tolerant routing algorithm is proposed for the bufferless NoC. There are mainly three techniques to handle transient faults in NoC and they are Automatic repeat request (ARQ), Forward error correction (FEC), and Hybrid ARQ (HARQ). Also transient faults can be handled at both link-level and transport level. In ARQ-based error control the packet is retransmitted if it is found to have errors. Such packets are retransmitted until it is received error free. The error detection is usually implemented through a cyclic redundancy check (CRC). A simple errordetecting code is applied to the packet before transmitting, and at the receiver side a checksum will be calculated to ensure that no error has occurred. If the checksum does not add up to the right value, the packet is retransmitted. The Efficient Rank Based fault-tolerant solution guarantees zero lost packets as long as the fault pattern does not cut the network into two or more disconnected parts. Simulation results demonstrate that under synthetic workloads, in the presence of permanent link faults, the throughput of an 8 × 8 network with Priority-based routing algorithm is 14% higher on average. II. MINIMAL ROUTING ALGORITHM The introduction of minimal routing algorithm for faults in the network increases the overall performance of the network. When we use the algorithm, it takes shortest path regardless of the presence of faults. The proposed algorithm is much simpler than the previous existing algorithm. It provides link among the surviving routers in the network. It proves to be more efficient even in the presence of multiple faults. It has the ability to connect the routers both horizontally and orthogonally even in the presence of faults. The fault tolerant algorithm is used to tolerate the number of faults present in the entire network. It supports the faulty router by taking non minimal paths. Due to the characteristics of adaptiveness, the data chooses different path by bypassing the faulty router to reach the destination router from the source router III. FAULT-TOLERANT DEFLECTION ROUTING (FTDR) ALGORITHM In order to have a high throughput system one must have system which should handle both transient faults and permanent faults. In our existing work we have methods like hybrid ARQ scheme to avoid transient faults. But we must also incorporate algorithms which can handle permanent faults. It has reduced delay over the network. It has proved to be more reliable of 99.5% when multiple faults are found in the network. It also helps to estimate the various
  • 2. High Fault Coverage for On Chip Network Using Priority Based Routing Algorithm (IJSRD/Vol. 3/Issue 10/2015/207) All rights reserved by www.ijsrd.com 931 parameters such as reliability, latency, speed, area and power. FTDR algorithm makes routing decision based on the packet priority and routing table. First, the algorithm always gives the highest priority to the oldest packet. Given a network size and different fault patterns, the length of the hop count must be enough to guarantee the priority can not saturate. Second, it can be proved that the routing table entry will converge to the minimum hops to each destination. IV. CONCLUSION In this project, I provided a Efficient Rank Based fault- tolerant solution for a bufferless NoC to protect it from faults and achieved low latency. It provide the required communications at a low cost and system will be scalable V. RESULTS Without Fault Fig. 1: Priority Based Routing Fig. 2: Priority Based Routing Without Fault Fig. 3: Output Waveforms for without fault routing Fig. 4: Priority Based Routing with fault on Router1 at EAST Fig. 5: Output Waveforms with fault on Router1 at EAST ACKNOWLEDGEMENT I would like to thank” Ms.Channpreet Kaur Toor” under whose guidance I completed my review paper. This review would not have been possible without the support and guidance given by her. REFERENCES [1] Jehosheba Margaret.M1, Mary Susanna. M2, Rajapirian.P3,” FPGA BASED HIGHLY RELIABLE FAULT TOLERANT APPROACH FOR NETWORK ON CHIP (NOC)” IJRET: International Journal of Research in Engineering and Technology eISSN: 2319- 1163 | pISSN: 2321-7308. [2] Chaochao Feng1;2, Zhonghai Lu2, Axel Jantsch2, Jinwen Li1, Minxuan Zhang1, “A Reconfigurable Fault-tolerant Deflection Routing Algorithm Based on Reinforcement Learning for Network-on-Chip” [3] 1Mr. Vishnu K P, 2Mr. T Shanmuganathan,” FAULT TOLERANT DEFLECTING ROUTER WITH HIGH FAULT COVERAGE FOR ON-CHIP NETWORK”, IJCSMC, Vol. 3, Issue. 3, March 2014, pg.470 – 477. [4] Jehosheba Margaret.M1, Mary Susanna. M2, Rajapirian.P3,” FPGA BASED HIGHLY RELIABLE FAULT TOLERANT APPROACH FOR NETWORK ON CHIP (NOC)” IJRET: International Journal of Research in Engineering and Technology eISSN: 2319- 1163 | pISSN: 2321-7308. [5] Chaochao Feng1;2, Zhonghai Lu2, Axel Jantsch2, Jinwen Li1, Minxuan Zhang1, “A Reconfigurable Fault-tolerant Deflection Routing Algorithm Based on Reinforcement Learning for Network-on-Chip”