SlideShare a Scribd company logo
1 of 4
Download to read offline
Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                        Vol. 3, Issue 2, March -April 2013, pp.457-460
A Study of Circuit Level Leakage Reduction Techniques in Cache
                           Memories
                             Urvashi Chaudhari*, Rachna Jani**
             *(Department of Electronics & Communication, CHARUSAT University, Changa)
   ** (Associate Professor Department of Electronics & Communication, CHARUSAT University, Changa)


ABSTRACT
         The Performance of microprocessor can           by low-VTH MOSFET and leakage current by high-
be improved by increasing the capacity of on-chip        VTH MOSFET [2]. This technique use high-VTH
caches. On-chip caches consume noticeable                pMOSFET and nMOSFET as a Switch for
fraction of total power consumption of                   disconnecting power supply in standby mode and
microprocessors. The performance gained can be           thereby reduce leakage current. Disadvantage of this
achieved by reducing energy consumption due to           circuits are fabrication of MOS with different
leakage current in cache memories. The                   threshold-voltage, increase overall circuit area and it
technique for power reduction in cache is divided        adds extra parasitic capacitance and delay. Another
in mainly two parts Circuit level and                    technique is Dual VTH [3]. It uses low VTH for
architectural level technique. In this paper a           critical path and high VTH for rest of the circuit. Due
circuit level techniques like gated-Vdd, gated-          to high VTH, it increases the access time of the
Ground, Drowsy caches, Asymmetric SRAM cell              memory cell. Dynamic sleep transistor also used as
for reducing leakage current in cache memory             leakage reduction technique [4]. In that sleep
are discussed.                                           transistor is use to isolate the circuit from the
                                                         supply.
Keywords - Asymmetric cell, Cache memory,                          The rest of the paper organized as follows:
Drowsy caches, Gated-ground, Gated-Vdd, leakage          Section II describes Gated- Vdd techniques. Section
current.                                                 III describes Data retention gated-ground cache.
                                                         Section IV describes Drowsy cache. Section V
I. INTRODUCTION                                          describes Asymmetric SRAM cell. Section VI
         A Microprocessor devotes a large fraction       describes Conclusion.
of chip area for memory structure. Due to large size
of on-chip caches reduction of leakage current even      II. GATED-VDD: GATING THE SUPPLY
in single cell of cache can reduce a large fraction of   VOLTAGE
the total power in the microprocessor. The main                    To prevent leakage power dissipation in
memory compared to cache memory is slow and not          DRI i-cache [5] a circuit level technique, gated- VDD
able to maintain the speed with processor. Cache         is used, by gating the supply voltage from the
memory is placed between the main memory and             SRAM cell of the cache unused portion [6].
the processor. SRAMs are used as a cache memory          A. SRAM Cell with gated- Vdd :
because they are faster and not required periodic        In this techniques an extra transistor in the supply
refresh compared to DRAM. Now leakage current            voltage or the ground of the cache‟s SRAM cell is
becomes a major contributor for power dissipation        added as shown in fig.1, it turn on in the used
in CMOS circuit in deep submicron technology. So,        section and turn off in unused section, so the cell‟s
reduction in leakage current becomes main task for       supply voltage is gated. The main reason behind the
designers. It is also important to estimate leakage      reduction in leakage current is stacking effect of self
current in CMOS at nanotechnology. An efficient          reverse-biasing series-connected transistors.
technique for estimating leakage current has been
proposed in [1]

Many circuit and architecture level techniques have
been proposed for leakage reduction. The
architectural level technique can reduce power
significantly but it produce negative impact on
performance. So that in this paper some of circuit
level techniques are discussed which reduces
leakage and less impacts on performance. There are
many circuit level techniques for leakage current
reduction. One of them is multi-threshold CMOS
(MTCMOS) technique. It increases operating speed
                                                         Fig.1. SRAM cell with an nMOS Gated-Vdd [6].


                                                                                                457 | P a g e
Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                        Vol. 3, Issue 2, March -April 2013, pp.457-460
This technique maintains both lower supply and          data retention capability reduces the leakage power
threshold voltage while reducing leakage current.       by 97% while increasing read time by 8% using
Gated-Vdd transistor must be large enough to sink       0.2v and 0.4v for low and high VTH respectively [7].
the current through SRAM cell, but a large transistor
may reduce the stacking effect and also increase the    III. Data Retention Gated-Ground Cache
area overhead. So here a trade-off between area over    (DRG-Cache):
head and leakage reduction.                                      In this technique an extra nMOS transistor
                                                        connected between ground and virtual ground node
B. Trade- offs between nMOS and pMOS Gated              of SRAM cell, which is called gated-ground. In this
Vdd transistor:                                         technique, to reduce power, the unused section of
         By Using nMOS transistor as Gated-Vdd, it      the memory is put in to the low leakage mode.
reduce standby leakage current through stacking         Gated-ground transistor enables a DRG-cache to
effect of three series connected nMOS transistor        turn off the supply voltage and eliminate leakage
between bitlines and ground. Alternatively, using       energy virtually in unused section of the memory
pMOS transistor it reduces required transistor width    [8].
and thereby reduce area overhead, and also it not       A. DRG-Cache Technique with SRAM Cell
provide the isolation between the bitlines and the
ground as nMOS, reducing energy saving. nMOS
gated-Vdd impact on cell performance while pMOS
not significantly impact on the cell performance.
There is a fundamental trade-off between reduction
in leakage, transistor switching speed and area
overhead of gated-Vdd transistor.

C. Impact of lowering threshold voltage:
          In table 1 from first three rows it is
concluded that decreasing threshold voltage of
SRAM cell increases active leakage energy and
standby leakage energy. From last three rows, if        Fig.2. Circuit of gated-ground transistor with SRAM
threshold voltage of gated-Vdd decrease than there      cell [8].
is further reduction in the standby leakage energy.                In fig. 2 light colour transistor are on and
                                                        dark colour transistor are off. The extra transistor
Table 1. Impacts of changing SRAM and gated-Vdd         turns on in the used section and turn off in unused
              threshold Voltages [6]                    section of the memory thereby gating the supply
                                                        voltage. As in the gated-Vdd technique, this
                                                        technique reduces leakage due to series connection
                                                        of two off transistor. This effect is due the stacking
                                                        effect. Here this technique retains the data which is
                                                        not possible in the case of gated-Vdd. A careful
                                                        design of transistor is necessary because size of the
                                                        gated-ground is important in data retention and
                                                        stability.

                                                        B. Energy Performance Trade-off:

D. Impact of widening gated-Vdd transistor:                  Table 3. Energy performance trade-off [8]

  Table 2. Widening the gated-Vdd transistor [6]




                                                                  First two rows of table3 shows that
                                                        increasing the width of the gated-ground transistor
From table 2 it is shown that by increasing the size    improve the read time, data retention capability and
of the gated-Vdd transistor read time of the circuit    stability of cell, but decrease the energy saving and
decreases but active and standby leakage energy         increases the area. Last two row shows that
increases. For a processor this technique without       threshold voltage increases the leakage energy. This



                                                                                               458 | P a g e
Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and
                   Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                        Vol. 3, Issue 2, March -April 2013, pp.457-460
technique for a processor with VTH 250mv reduce           voltage, while asymmetric SRAM cells have low
leakage power by 40% while increase read time by          leakage and less impact on performance. In this
4.4% compared to conventional Cache [7].                  technique when cell is storing „0‟, selected
                                                          transistors are “weakened” to reduce leakage. A
IV. DROWSY CACHES:                                        weakening can be possible by using higher threshold
          In Caches, for fix period of time the           voltage and also by proper sizing of transistor.
activity is centred at some cache lines. So, putting      In conventional SRAM of symmetrical transistor to
rest of cache lines in low power mode can reduce          reduce leakage current one method can be used that
the leakage significantly. This low power mode of         is making all transistors of high Vth, but it degrades
cache line is called Drowsy Caches [9][10]. In stand      the performance. This drawback can be overcome
of turning off cache line putting it in to a low power    by using asymmetric SRAM cell. It works on
drowsy mode can reduce leakage significantly. In          following principle: selecting a preferred stored
drowsy caches the chance of putting wrong line into       value and weaken only those transistors necessary to
drowsy mode is less, for that different policies have     reduce leakage by increasing the threshold voltage
been proposed in [9]. When caches are in drowsy           when this value is stored.
mode the data in it are preserved. Drowsy caches
can be implemented by adaptive body-biasing with          A. Working of Asymmetric SRAM Cell:
multi-threshold CMOS (ABB-CMOS), dynamic                            In cell most of the leakage is dissipated by
voltage scaling (DVS). Gated-Vdd.                         transistors that are off and have a voltage
                                                          differential across their drain and source. This state
A. Drowsy memory circuit:                                 of transistor can be finding by the value stored in it.
          As shown in fig.3 SRAM cell is connected        When a cell storing a„0‟ value, as shown in fig.4, the
to voltage-scaling controller. This controller consist    leaky transistors will be P1, N4 and N2. If cell was
of two pMOS pass transistor, one with high                storing „1‟ value then leakage transistor would be
threshold voltage while other with low threshold          P2, N1 and N3.
voltage. One pMOS supplies normal supply voltage
and other low for drowsy cache lines. Each pass
transistor of SRAM cell is of high Vth to prevent the
leakage current from the normal supply to the low
supply through the two pMOS pass gate transistor.
For each cache line a separate voltage controller is
needed.




                                                          Fig.4. SRAM Cell with storing a „0‟ value [11].

                                                                   To reduce leakage in cell when it storing a
                                                          „0‟ value, replace leaky transistor by high Vth. The
                                                          resulted circuit is shown in fig. 5, which is called
                                                          basic asymmetric (BA) SRAM cell. This circuit has
Fig.3. Schematic of Drowsy memory circuit [9].            the same leakage as conventional SRAM cell when
                                                          storing „1‟, but it reduces leakage by 70 times when
         A possible disadvantage of this circuit is       storing „0‟., due to longer discharge time.
that increased susceptibility to noise. In a 0.07um
CMOS process, drowsy caches will be able to
reduce the total energy consumption by 50% -75%,
and cache lines can be maintained in drowsy mode
without affecting performance by more than 1% [9].

V. Asymmetric SRAM Cell:
         As this technique used in cache it is refer to
as asymmetric-cell caches (ACCs). Comparing to
conventional cache ACCs reduce leakage power
even when there are few parts of the cache that are
left unused [11]. Traditional SRAM cell transistors       Fig.5.Basic asymmetric SRAM cell [11].
are symmetrical with identical leakage and threshold



                                                                                                 459 | P a g e
Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and
                     Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                          Vol. 3, Issue 2, March -April 2013, pp.457-460
          To improve in leakage reduction and speed      [3]    J.Kao, and A. Chandrakasan, “ Dual-
other modified circuit of basic asymmetric SRAM                 threshold voltage techniques for low power
cell is proposed in [11]. In that they have proposed            digital circuits,” IEEE J. Solid-state
two best circuit designs for improvement in leakage             Circuits,vol 35, pp. 1009~1018, Jul. 2002
reduction and speed from different asymmetric            [4]    K.Zhang, U. Bhattacharya, Z. Chen, Faith,
SRAM cell family. For leakage reduction                         D. Murray, Member IEEE, “ SRAM design
improvement, leakage enhancement (LE) cell and                  on 65nm CMOS Technology with
for speed improvement, speed enhancement (SE)                   Dynamic sleep Transistor for leakage
cell. Leakage in LE cell for storing „0‟ and „1‟ is 1%          reduction”, IEEE J. Of solid-state circuits,
and 14% respectively, and that for SE cell is 14%               vol.40, no.4, April 2005.
and 50% respectively. Expected leakage and delay         [5]    S.H. Yang, M.D. Powell, B. Falsafi,
in LE cell are 5% and 2% respectively, and that in              K..Roy, T.N. Vijaykumar, “ Dynamically
SE cell are 25% and 0% respectively [11].                       resizable instruction cache: An energy
                                                                efficient and high-performance deep-
VI. CONCLUSION                                                  submicron instruction cache.” Technical
     Table 4. A comparison of all techniques:                   report ECE-007, School of Electrical and
Techniques          Leakage          Impact    on               Computer          Engineering,        Purdue
                    reduction        performance                University,2000.
                                                         [6]    M.powell,S.Yang, B Falsafi, k.Roy, T
Gated-Vdd              97% with       8%
                                                                Vijaykumar, “Gated-VDD: A circuit
                       no      data
                                                                technique to reduce leakage in deep-
                       retention
                                                                submicron cache memories,” porc. Of
Gated-ground           40%            4.4%                      IEEE/ACM Intl symp. On lower power
Drowsy cache           50 %-70%       Not     more              electronics & Design, pp 90~95,2000.
                                      than 1%            [7]    N.Sung Kim, K. Flautner, D. Blaauw, and
                                                                T. Mudge, “Circuit and Microarchitectural
Asymmetric     LE      95 %           2%
                                                                technique for Reducing Cache Leakage
SRAM Cell      Cell
                                                                Power”, IEEE Trans. On Very Large Scale
               SE      75%            0%
                                                                Integration    Systems,     Vol.12,    No.2,
               Cell
                                                                February 2004.
                                                         [8]    Amit Agarwal, Hai Li, Student Member,
         The comparison of all technique is shown
                                                                IEEE, and Kaushik Roy, Fellow, IEEE, “A
in Table4.From this comparison it can be concluded
                                                                Single-Vt Low-Leakage Gated-Ground
that asymmetric cell and drowsy cache are better
                                                                Cache for Deep Submicron”, IEEE J. Of
techniques for leakage reduction in cache. Though
                                                                Solid-State Circuits, Vlo.38, no.2, February
asymmetric cell have high leakage reduction and
                                                                2003.
better performance, it has some drawbacks.
                                                         [9]    K. Flautner, Nam sung kim, S. Martin, D.
Asymmetric cell do not consider gate leakage
                                                                Blaauw and T. Mudge, “Drowsy Caches:
component so that their total leakage saving will be
                                                                simple techniques for reducing leakage
less. However asymmetric cells are expected to
                                                                power,” proc. Of IEEE/ACM Intl.Symp. on
considerably decrease the static power dissipation at
                                                                computer Architecture, PP. 148~157, 2002.
high operating temperature. Furthermore in
                                                         [10]   Nam sung kim, K. Flautner, D. Blaauw and
successive technologies, the stability of asymmetric
                                                                T. Mudge, “Drowsy instruction cache-
cells may decrease.
                                                                Leakage power reduction using dynamic
                                                                voltage scaling and cache sub-bank
REFERENCES                                                      prediction.” proc. Of IEEE/ACM Intl.Symp.
  [1]     Alodeep Sanyal, Member, IEEE, Ashesh                  on      Microarchitecture      (MICRO-35),
          Rastogi, Wei chen and Sandip Kundu,                   pp.219~ 230, Nov 2002.
          fellow, IEEE,”An efficient technique for       [11]   Nvid Aziz, student member, IEEE, Farid
          leakage current estimation in nanoscaled              N. Najm, fellow, IEEE, and A.Moshovos,
          CMOS Circuits incorporating Self-Loading              Associate member,IEEE, “ Low-Leakage
          Effects” IEEE TRANSACTION ON                          Asymmetric-Cell SRAM”, IEEE Trans. On
          COMPUTERS, VOL 50,NO 7,JULY 2010.                     Very Large Scale Integration System,
  [2]     S. Mouth, T. Douseki, Y. Matsuya, T.                  vol.11, no.4. August 2003.
          Aoki, S. Shigematsu, and J. Yamada, “1-V
          power supply high-speed digital circuit
          technology with multithreshold- voltage
          CMOS,” IEEE J. Solid-State Circuits, Vol
          30,pp. 847~854, Aug.1995.




                                                                                             460 | P a g e

More Related Content

What's hot

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
IJECEIAES
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies Document
Vidya Baddam
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 

What's hot (17)

IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies Document
 
H010225257
H010225257H010225257
H010225257
 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-IC
 
Iaetsd design and analysis of low-leakage high-speed
Iaetsd design and analysis of low-leakage high-speedIaetsd design and analysis of low-leakage high-speed
Iaetsd design and analysis of low-leakage high-speed
 
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
 
Memory base
Memory baseMemory base
Memory base
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
 
Low power sram
Low power sramLow power sram
Low power sram
 
L24093097
L24093097L24093097
L24093097
 

Viewers also liked

Capacitacion de angie y malu
Capacitacion de angie y maluCapacitacion de angie y malu
Capacitacion de angie y malu
BILSAB
 

Viewers also liked (20)

Cq32579584
Cq32579584Cq32579584
Cq32579584
 
Ck32540542
Ck32540542Ck32540542
Ck32540542
 
Bh33345348
Bh33345348Bh33345348
Bh33345348
 
Gm3112421245
Gm3112421245Gm3112421245
Gm3112421245
 
Gr3112821287
Gr3112821287Gr3112821287
Gr3112821287
 
Gl3112351241
Gl3112351241Gl3112351241
Gl3112351241
 
A32001005
A32001005A32001005
A32001005
 
Eh32845848
Eh32845848Eh32845848
Eh32845848
 
Ax32327332
Ax32327332Ax32327332
Ax32327332
 
Ar32295299
Ar32295299Ar32295299
Ar32295299
 
Ag32224229
Ag32224229Ag32224229
Ag32224229
 
Aj32242252
Aj32242252Aj32242252
Aj32242252
 
Ij3115691576
Ij3115691576Ij3115691576
Ij3115691576
 
Presentación1
Presentación1Presentación1
Presentación1
 
Ganhe Dinheiro com Boulevard Monde
Ganhe Dinheiro com Boulevard MondeGanhe Dinheiro com Boulevard Monde
Ganhe Dinheiro com Boulevard Monde
 
Power point filo
Power point filoPower point filo
Power point filo
 
V2 - Social Media & Mobile: How the Last 5 Years Have Forever Changed High-Te...
V2 - Social Media & Mobile: How the Last 5 Years Have Forever Changed High-Te...V2 - Social Media & Mobile: How the Last 5 Years Have Forever Changed High-Te...
V2 - Social Media & Mobile: How the Last 5 Years Have Forever Changed High-Te...
 
2° guerra mundial
2° guerra mundial2° guerra mundial
2° guerra mundial
 
Capacitacion de angie y malu
Capacitacion de angie y maluCapacitacion de angie y malu
Capacitacion de angie y malu
 
Power point filo
Power point filoPower point filo
Power point filo
 

Similar to Bv32457460

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
Sram leakage suppression by minimizing standby supply voltage
Sram leakage suppression by minimizing standby supply voltageSram leakage suppression by minimizing standby supply voltage
Sram leakage suppression by minimizing standby supply voltage
rsfdtd
 

Similar to Bv32457460 (20)

Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Testing DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive TechniqueTesting DRAM and Correcting errorsby using Adaptive Technique
Testing DRAM and Correcting errorsby using Adaptive Technique
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...
 
Ar4102321325
Ar4102321325Ar4102321325
Ar4102321325
 
Sram leakage suppression by minimizing standby supply voltage
Sram leakage suppression by minimizing standby supply voltageSram leakage suppression by minimizing standby supply voltage
Sram leakage suppression by minimizing standby supply voltage
 
Af34193199
Af34193199Af34193199
Af34193199
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
Ji3516041608
Ji3516041608Ji3516041608
Ji3516041608
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
 

Recently uploaded

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 

Recently uploaded (20)

Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 

Bv32457460

  • 1. Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 2, March -April 2013, pp.457-460 A Study of Circuit Level Leakage Reduction Techniques in Cache Memories Urvashi Chaudhari*, Rachna Jani** *(Department of Electronics & Communication, CHARUSAT University, Changa) ** (Associate Professor Department of Electronics & Communication, CHARUSAT University, Changa) ABSTRACT The Performance of microprocessor can by low-VTH MOSFET and leakage current by high- be improved by increasing the capacity of on-chip VTH MOSFET [2]. This technique use high-VTH caches. On-chip caches consume noticeable pMOSFET and nMOSFET as a Switch for fraction of total power consumption of disconnecting power supply in standby mode and microprocessors. The performance gained can be thereby reduce leakage current. Disadvantage of this achieved by reducing energy consumption due to circuits are fabrication of MOS with different leakage current in cache memories. The threshold-voltage, increase overall circuit area and it technique for power reduction in cache is divided adds extra parasitic capacitance and delay. Another in mainly two parts Circuit level and technique is Dual VTH [3]. It uses low VTH for architectural level technique. In this paper a critical path and high VTH for rest of the circuit. Due circuit level techniques like gated-Vdd, gated- to high VTH, it increases the access time of the Ground, Drowsy caches, Asymmetric SRAM cell memory cell. Dynamic sleep transistor also used as for reducing leakage current in cache memory leakage reduction technique [4]. In that sleep are discussed. transistor is use to isolate the circuit from the supply. Keywords - Asymmetric cell, Cache memory, The rest of the paper organized as follows: Drowsy caches, Gated-ground, Gated-Vdd, leakage Section II describes Gated- Vdd techniques. Section current. III describes Data retention gated-ground cache. Section IV describes Drowsy cache. Section V I. INTRODUCTION describes Asymmetric SRAM cell. Section VI A Microprocessor devotes a large fraction describes Conclusion. of chip area for memory structure. Due to large size of on-chip caches reduction of leakage current even II. GATED-VDD: GATING THE SUPPLY in single cell of cache can reduce a large fraction of VOLTAGE the total power in the microprocessor. The main To prevent leakage power dissipation in memory compared to cache memory is slow and not DRI i-cache [5] a circuit level technique, gated- VDD able to maintain the speed with processor. Cache is used, by gating the supply voltage from the memory is placed between the main memory and SRAM cell of the cache unused portion [6]. the processor. SRAMs are used as a cache memory A. SRAM Cell with gated- Vdd : because they are faster and not required periodic In this techniques an extra transistor in the supply refresh compared to DRAM. Now leakage current voltage or the ground of the cache‟s SRAM cell is becomes a major contributor for power dissipation added as shown in fig.1, it turn on in the used in CMOS circuit in deep submicron technology. So, section and turn off in unused section, so the cell‟s reduction in leakage current becomes main task for supply voltage is gated. The main reason behind the designers. It is also important to estimate leakage reduction in leakage current is stacking effect of self current in CMOS at nanotechnology. An efficient reverse-biasing series-connected transistors. technique for estimating leakage current has been proposed in [1] Many circuit and architecture level techniques have been proposed for leakage reduction. The architectural level technique can reduce power significantly but it produce negative impact on performance. So that in this paper some of circuit level techniques are discussed which reduces leakage and less impacts on performance. There are many circuit level techniques for leakage current reduction. One of them is multi-threshold CMOS (MTCMOS) technique. It increases operating speed Fig.1. SRAM cell with an nMOS Gated-Vdd [6]. 457 | P a g e
  • 2. Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 2, March -April 2013, pp.457-460 This technique maintains both lower supply and data retention capability reduces the leakage power threshold voltage while reducing leakage current. by 97% while increasing read time by 8% using Gated-Vdd transistor must be large enough to sink 0.2v and 0.4v for low and high VTH respectively [7]. the current through SRAM cell, but a large transistor may reduce the stacking effect and also increase the III. Data Retention Gated-Ground Cache area overhead. So here a trade-off between area over (DRG-Cache): head and leakage reduction. In this technique an extra nMOS transistor connected between ground and virtual ground node B. Trade- offs between nMOS and pMOS Gated of SRAM cell, which is called gated-ground. In this Vdd transistor: technique, to reduce power, the unused section of By Using nMOS transistor as Gated-Vdd, it the memory is put in to the low leakage mode. reduce standby leakage current through stacking Gated-ground transistor enables a DRG-cache to effect of three series connected nMOS transistor turn off the supply voltage and eliminate leakage between bitlines and ground. Alternatively, using energy virtually in unused section of the memory pMOS transistor it reduces required transistor width [8]. and thereby reduce area overhead, and also it not A. DRG-Cache Technique with SRAM Cell provide the isolation between the bitlines and the ground as nMOS, reducing energy saving. nMOS gated-Vdd impact on cell performance while pMOS not significantly impact on the cell performance. There is a fundamental trade-off between reduction in leakage, transistor switching speed and area overhead of gated-Vdd transistor. C. Impact of lowering threshold voltage: In table 1 from first three rows it is concluded that decreasing threshold voltage of SRAM cell increases active leakage energy and standby leakage energy. From last three rows, if Fig.2. Circuit of gated-ground transistor with SRAM threshold voltage of gated-Vdd decrease than there cell [8]. is further reduction in the standby leakage energy. In fig. 2 light colour transistor are on and dark colour transistor are off. The extra transistor Table 1. Impacts of changing SRAM and gated-Vdd turns on in the used section and turn off in unused threshold Voltages [6] section of the memory thereby gating the supply voltage. As in the gated-Vdd technique, this technique reduces leakage due to series connection of two off transistor. This effect is due the stacking effect. Here this technique retains the data which is not possible in the case of gated-Vdd. A careful design of transistor is necessary because size of the gated-ground is important in data retention and stability. B. Energy Performance Trade-off: D. Impact of widening gated-Vdd transistor: Table 3. Energy performance trade-off [8] Table 2. Widening the gated-Vdd transistor [6] First two rows of table3 shows that increasing the width of the gated-ground transistor From table 2 it is shown that by increasing the size improve the read time, data retention capability and of the gated-Vdd transistor read time of the circuit stability of cell, but decrease the energy saving and decreases but active and standby leakage energy increases the area. Last two row shows that increases. For a processor this technique without threshold voltage increases the leakage energy. This 458 | P a g e
  • 3. Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 2, March -April 2013, pp.457-460 technique for a processor with VTH 250mv reduce voltage, while asymmetric SRAM cells have low leakage power by 40% while increase read time by leakage and less impact on performance. In this 4.4% compared to conventional Cache [7]. technique when cell is storing „0‟, selected transistors are “weakened” to reduce leakage. A IV. DROWSY CACHES: weakening can be possible by using higher threshold In Caches, for fix period of time the voltage and also by proper sizing of transistor. activity is centred at some cache lines. So, putting In conventional SRAM of symmetrical transistor to rest of cache lines in low power mode can reduce reduce leakage current one method can be used that the leakage significantly. This low power mode of is making all transistors of high Vth, but it degrades cache line is called Drowsy Caches [9][10]. In stand the performance. This drawback can be overcome of turning off cache line putting it in to a low power by using asymmetric SRAM cell. It works on drowsy mode can reduce leakage significantly. In following principle: selecting a preferred stored drowsy caches the chance of putting wrong line into value and weaken only those transistors necessary to drowsy mode is less, for that different policies have reduce leakage by increasing the threshold voltage been proposed in [9]. When caches are in drowsy when this value is stored. mode the data in it are preserved. Drowsy caches can be implemented by adaptive body-biasing with A. Working of Asymmetric SRAM Cell: multi-threshold CMOS (ABB-CMOS), dynamic In cell most of the leakage is dissipated by voltage scaling (DVS). Gated-Vdd. transistors that are off and have a voltage differential across their drain and source. This state A. Drowsy memory circuit: of transistor can be finding by the value stored in it. As shown in fig.3 SRAM cell is connected When a cell storing a„0‟ value, as shown in fig.4, the to voltage-scaling controller. This controller consist leaky transistors will be P1, N4 and N2. If cell was of two pMOS pass transistor, one with high storing „1‟ value then leakage transistor would be threshold voltage while other with low threshold P2, N1 and N3. voltage. One pMOS supplies normal supply voltage and other low for drowsy cache lines. Each pass transistor of SRAM cell is of high Vth to prevent the leakage current from the normal supply to the low supply through the two pMOS pass gate transistor. For each cache line a separate voltage controller is needed. Fig.4. SRAM Cell with storing a „0‟ value [11]. To reduce leakage in cell when it storing a „0‟ value, replace leaky transistor by high Vth. The resulted circuit is shown in fig. 5, which is called basic asymmetric (BA) SRAM cell. This circuit has Fig.3. Schematic of Drowsy memory circuit [9]. the same leakage as conventional SRAM cell when storing „1‟, but it reduces leakage by 70 times when A possible disadvantage of this circuit is storing „0‟., due to longer discharge time. that increased susceptibility to noise. In a 0.07um CMOS process, drowsy caches will be able to reduce the total energy consumption by 50% -75%, and cache lines can be maintained in drowsy mode without affecting performance by more than 1% [9]. V. Asymmetric SRAM Cell: As this technique used in cache it is refer to as asymmetric-cell caches (ACCs). Comparing to conventional cache ACCs reduce leakage power even when there are few parts of the cache that are left unused [11]. Traditional SRAM cell transistors Fig.5.Basic asymmetric SRAM cell [11]. are symmetrical with identical leakage and threshold 459 | P a g e
  • 4. Urvashi Chaudhari, Rachna Jani / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 2, March -April 2013, pp.457-460 To improve in leakage reduction and speed [3] J.Kao, and A. Chandrakasan, “ Dual- other modified circuit of basic asymmetric SRAM threshold voltage techniques for low power cell is proposed in [11]. In that they have proposed digital circuits,” IEEE J. Solid-state two best circuit designs for improvement in leakage Circuits,vol 35, pp. 1009~1018, Jul. 2002 reduction and speed from different asymmetric [4] K.Zhang, U. Bhattacharya, Z. Chen, Faith, SRAM cell family. For leakage reduction D. Murray, Member IEEE, “ SRAM design improvement, leakage enhancement (LE) cell and on 65nm CMOS Technology with for speed improvement, speed enhancement (SE) Dynamic sleep Transistor for leakage cell. Leakage in LE cell for storing „0‟ and „1‟ is 1% reduction”, IEEE J. Of solid-state circuits, and 14% respectively, and that for SE cell is 14% vol.40, no.4, April 2005. and 50% respectively. Expected leakage and delay [5] S.H. Yang, M.D. Powell, B. Falsafi, in LE cell are 5% and 2% respectively, and that in K..Roy, T.N. Vijaykumar, “ Dynamically SE cell are 25% and 0% respectively [11]. resizable instruction cache: An energy efficient and high-performance deep- VI. CONCLUSION submicron instruction cache.” Technical Table 4. A comparison of all techniques: report ECE-007, School of Electrical and Techniques Leakage Impact on Computer Engineering, Purdue reduction performance University,2000. [6] M.powell,S.Yang, B Falsafi, k.Roy, T Gated-Vdd 97% with 8% Vijaykumar, “Gated-VDD: A circuit no data technique to reduce leakage in deep- retention submicron cache memories,” porc. Of Gated-ground 40% 4.4% IEEE/ACM Intl symp. On lower power Drowsy cache 50 %-70% Not more electronics & Design, pp 90~95,2000. than 1% [7] N.Sung Kim, K. Flautner, D. Blaauw, and T. Mudge, “Circuit and Microarchitectural Asymmetric LE 95 % 2% technique for Reducing Cache Leakage SRAM Cell Cell Power”, IEEE Trans. On Very Large Scale SE 75% 0% Integration Systems, Vol.12, No.2, Cell February 2004. [8] Amit Agarwal, Hai Li, Student Member, The comparison of all technique is shown IEEE, and Kaushik Roy, Fellow, IEEE, “A in Table4.From this comparison it can be concluded Single-Vt Low-Leakage Gated-Ground that asymmetric cell and drowsy cache are better Cache for Deep Submicron”, IEEE J. Of techniques for leakage reduction in cache. Though Solid-State Circuits, Vlo.38, no.2, February asymmetric cell have high leakage reduction and 2003. better performance, it has some drawbacks. [9] K. Flautner, Nam sung kim, S. Martin, D. Asymmetric cell do not consider gate leakage Blaauw and T. Mudge, “Drowsy Caches: component so that their total leakage saving will be simple techniques for reducing leakage less. However asymmetric cells are expected to power,” proc. Of IEEE/ACM Intl.Symp. on considerably decrease the static power dissipation at computer Architecture, PP. 148~157, 2002. high operating temperature. Furthermore in [10] Nam sung kim, K. Flautner, D. Blaauw and successive technologies, the stability of asymmetric T. Mudge, “Drowsy instruction cache- cells may decrease. Leakage power reduction using dynamic voltage scaling and cache sub-bank REFERENCES prediction.” proc. Of IEEE/ACM Intl.Symp. [1] Alodeep Sanyal, Member, IEEE, Ashesh on Microarchitecture (MICRO-35), Rastogi, Wei chen and Sandip Kundu, pp.219~ 230, Nov 2002. fellow, IEEE,”An efficient technique for [11] Nvid Aziz, student member, IEEE, Farid leakage current estimation in nanoscaled N. Najm, fellow, IEEE, and A.Moshovos, CMOS Circuits incorporating Self-Loading Associate member,IEEE, “ Low-Leakage Effects” IEEE TRANSACTION ON Asymmetric-Cell SRAM”, IEEE Trans. On COMPUTERS, VOL 50,NO 7,JULY 2010. Very Large Scale Integration System, [2] S. Mouth, T. Douseki, Y. Matsuya, T. vol.11, no.4. August 2003. Aoki, S. Shigematsu, and J. Yamada, “1-V power supply high-speed digital circuit technology with multithreshold- voltage CMOS,” IEEE J. Solid-State Circuits, Vol 30,pp. 847~854, Aug.1995. 460 | P a g e