SlideShare a Scribd company logo
1 of 6
Download to read offline
SRAM Leakage Suppression by Minimizing Standby Supply Voltage
Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, and Jan Rabaey
Department of EECS, University of California at Berkeley, Berkeley, CA 94720, USA
Abstract
Suppressing the leakage current in memories is critical
in low-power design. By reducing the standby supply voltage
(VDD) to its limit, which is the Data Retention Voltage (DRV),
leakage power can be substantially reduced. This paper ex-
plores how low DRV can be in a standard low leakage
SRAM module and analyzes how DRV is affected by parame-
ters such as process variations, chip temperature, and tran-
sistor sizing. An analytical model for DRV as a function of
process and design parameters is presented, and forms the
base for further design space explorations. This model is
verified using simulations as well as measurements from a
4KB SRAM chip in a 0.13µm technology. It is demonstrated
that an SRAM cell state can be preserved at sub-300mV
standby VDD, with more than 90% leakage power savings.
1. Introduction
One of the negative side effects of technology scaling is
that leakage power of on-chip memory increases dramati-
cally and forms one of the main challenges in future system-
on-a-chip (SoC) design. In battery-supported applications
with low duty-cycles, such as the Pico-Radio wireless sensor
nodes [1], cellular phones, or PDAs, leakage power can
dominate system power consumption and determine battery
life. Therefore, an efficient memory leakage suppression
scheme is critical for the success of ultra low-power design.
Various techniques have been proposed to reduce the
SRAM sub-threshold leakage power. At the circuit level,
dynamic control of transistor gate–source and substrate–
source back bias were exploited to create low leakage paths
during standby periods [2]. Yet these approaches require
many modifications on the SRAM cell structure, resulting in
a large design and area overhead. Furthermore, the applica-
tion of reversed body bias exacerbates within-die variations
in threshold voltage. At the architectural level, leakage re-
duction techniques include gating off the supply voltage
(VDD) of idle memory sections, or putting less frequently
used sections into drowsy standby mode. These approaches
exploited the quadratic reduction of leakage power with VDD,
and achieved optimal power-performance tradeoffs with
assistance of compiler-level cache activity analysis. The
cache delay technique applied adaptive timing policies in
cache line gating, achieving 70% leakage saving at modest
performance penalty [3]. To further exploit leakage control
on caches with large utilization ratio, the approach of drowsy
caches allocated inactive cache lines to a low-power mode,
where VDD was lowered but with memory data preserved [4].
While the drowsy caches approach can achieve leakage
energy savings of over 70% in a data cache, the question
remains on the lower bound of standby VDD that still pre-
serves data. Knowledge of the minimum low-power mode
supply voltage allows a designer to exploit the maximum
achievable leakage reduction for a given technology. Under-
standings of low voltage SRAM data preservation behavior
further open the opportunity for aggressive memory supply
voltage minimization, which has been the bottleneck in
VLSI system voltage scaling. Driven by the requirements of
ultra low-power applications, this paper presents the first
work on exploring the limit of SRAM low voltage data pres-
ervation under realistic conditions.
In SRAM design, the Data Retention Voltage (DRV) de-
fines the minimum VDD under which the data in a memory
unit is still preserved. An analytical model of DRV is devel-
oped to investigate the dependence of DRV on process and
design parameters (Section 2). To verify the new model and
further understand the limitations of DRV under realistic
conditions, a 4KB SRAM test chip with dual-rail supply
scheme was designed and fabricated in a 0.13µm technol-
ogy, as introduced in Section 3. This scheme targets ultra
low-power applications and uses a customized on-chip switch
capacitor converter to generate standby VDD. Section 4 pre-
sents measurement results of the SRAM data preservation
and leakage suppression. Impact of various process and de-
sign factors on DRV is analyzed in Section 5. Finally, Sec-
tion 6 concludes current work and proposes future directions.
2. Data retention voltage analysis
The circuit structure of a 6T SRAM cell is shown in
Fig. 1. When VDD is reduced to DRV, all six transistors are in
the sub-threshold (sub-Vth) region, thus the capability of
SRAM data retention strongly depends on the sub-Vth current
conduction behavior (i.e., leakage). In order to understand
VDD
V1
M4
M3
M6
M5
M2
M1
Leakage
current
V2
Leakage
current
VDD
VDD
0
0
Figure 1. Standard 6T SRAM cell structure.
the low voltage data preservation behavior of SRAM and the
potential for leakage saving through minimizing standby VDD,
analytical models of SRAM DRV and cell leakage current
are developed in this section.
In a standard SRAM cell (Fig. 1), when VDD scales down
to DRV, the voltage transfer curves (VTC) of the internal
inverters degrade to such a level that noise margin of the
SRAM cell degrades to zero, as illustrated in Fig. 2. Using
the notations of Fig. 1, this condition is given by:
DRVVwhen, DD
inverterRight2
1
inverterLeft2
1
=
∂
∂
=
∂
∂
V
V
V
V . (1)
If VDD is further reduced below DRV, the inverters flip to the
biased state determined by the deteriorated VTC and lose the
capability to preserve the stored data.
Based on this observation, the DRV of an SRAM cell
can be determined by solving the sub-Vth VTC equations of
the two internal data holding inverters, since all the transis-
tors conduct in weak inversion region when VDD is around
DRV. The derivation is presented below.
When an SRAM cell (Fig. 1) is in standby mode, the cur-
rents in each internal inverter are balanced:
2511 :VNode III =+ , (2)
4632 :VNode III =+ . (3)
Assuming that during standby
V1 ≈ 0 and V2 ≈ VDD, (4)
and assuming that the bit-lines are set to VDD, I6 is negligible
and Eq. (3) can be simplified to:
432 :VNode II = . (5)
In Eqs. (2, 3, 5), Ii is the conduction current of the ith
transis-
tor (Fig. 1) in the sub-Vth region. Considering that Ii is domi-
nated by the drain-source leakage in current technology (i.e.,
ignoring gate leakage and other leakage mechanisms which
have minor effects compared to the sub-Vth current), Ii is
modeled as in [5]:
( ))//(
0 1expexp qkTV
i
GS
i
th
ii
DS
e
qkTn
V
qkTn
V
ISI −
−⋅





⋅




 −
= ,(6)
where Si is the transistor (W/L) ratio; I0 is a process-specific
current at VGS=Vth for a transistor with W/L=1; T is the chip
temperature; and ni is the sub-Vth factor, (sub-Vth swing
/60mV at room temperature). If we further define:





 −
=
qkTn
V
ISA
i
th
ii exp0
, (7)
Ii can be expressed as:
( ))//(
1exp qkTV
i
GS
ii
DS
e
qkTn
V
AI −
−⋅





⋅= . (8)
Substituting these current models, which are functions of V1,
V2, VDD, T, and other technology parameters, into Eqs. (2, 5),
we obtain the VTCs of the inverters in the cell. Then, to-
gether with Eq. (1), the value of the DRV (and the corre-
sponding V1 and V2) can be derived.
A general solution to these equations requires numerical
iterations. To avoid the iterations, we first estimate the initial
value of DRV (DRV1), using the approximations in Eq. (4):
( )
( ) 















+
++⋅
+
= −−−
−−
−− 11
2
1
1
1
2
5
32
41
4
1
31
3
1
2
1 log
nn
A
n
A
AA
A
nn
nn
qkT
DRV
, (9)
where kT/q equals 26mV when T = 27°C. Then, using DRV1,
the approximations in Eq. (4) are refined as:





 −
⋅
+
⋅=
qkTn
DRV
A
AA
q
kT
V
2
1
2
51
1 exp
, (10)





 −
⋅⋅−=
qkTn
DRV
A
A
q
kT
DRVV
3
1
3
4
12 exp
. (11)
With Eqs. (10-11) available, we can refine the calcula-
tion of DRV and a final expression is obtained:
( )





 ⋅−
++=
22
2211
1
nVDRVV
DRVDRV . (12)
The above DRV formula only relies on the values of Ai
and ni, which can be easily extracted from transistor charac-
terizations (either by simulation or measurement). In addi-
tion, it captures the dependence of DRV on process varia-
tions in transistors, sizing Si, and chip temperature T. Based
on Eqs. (7-12), the impact of these process and design fac-
tors on DRV can be formulated as:
0
i
i i thi
i ii
S
DRV DRV a b V c T
S
∆
∆ = + + ∆ + ∆∑ ∑ , (13)
where DRV0 is the nominal value at room temperature; ai, bi,
and c are fitting coefficients. With the 0.13µm technology
used and considering an industrial SRAM cell sized for op-
timal performance, the DRV formula predicts DRV0 = 77mV
at perfect matching and DRV0 = 169mV with 3σ variations
in Vth and channel length. These analytical results match well
with SPICE simulated values of 78mV and 170mV, respec-
tively. The model coefficients ai’s are extracted from simula-
tions: a1 = 10mV, a3 = -41mV, a4 = 11mV (a2 is negligible);
0 0.1 0.2 0.3 0.4
0
0.1
0.2
0.3
0.4
V1
(V)
V2
(V)
VTC1
VTC2
VDD
=0.18V
VDD
=0.4V
VTC of SRAM cell inverters
Figure 2. Deterioration of inverter VTC under low-VDD,
with zero SRAM cell noise margins at DRV.
0 1 2 3
140
150
160
170
180
190
Width Scaling Factor
DRV(mV)
M1
M3
M4
Model
Figure 3. Modeled and simulated DRV as a function of
transistor width scaling.
bi is about 10mV, depending on bias condition. As demon-
strated in Fig. 3, Eq. (13) fully captures the impact of transis-
tor sizing on DRV. Due to the competing behavior of PMOS
devices M1 and M3, they have different roles in state preser-
vation. Fig. 3 infers that transistor sizing is an effective
means in tuning the DRV of an SRAM cell, which will be
further explored in Section 5. Temperature coefficient c is
extracted as 0.169mV/°C, which predicts an increase of
12.3mV in DRV when T rises from 27°C to 100°C.
With DRV obtained, the total leakage of an SRAM cell
in the sub-Vth region can be calculated as:











 −
−−⋅





+












−−⋅





=
+=
qkT
VDRV
qkTn
V
iS
qkT
V
qkTn
V
iS
IIIleak
2
1
1
44
1
2
2
22
42
exp1exp
exp1exp
(14)
where i=I0·exp[-Vth/(n·kT/q)]; i2 = 2.95µA and i4 = 6.78µA;
DRV, V1, and V2 are calculated from Eqs. (10-13). Thus, the
leakage power Pleak under DRV is:
leakleak IDRVP ⋅= . (15)
Leakage current Ileak as provided in Eq. (14) represents the
minimum achievable leakage while preserving state.
3. Ultra low voltage SRAM standby:
Design and implementation
To obtain silicon verification of the presented DRV
model and explore the potential of SRAM leakage suppres-
sion with ultra low standby VDD, a 4KB SRAM test chip with
dual rail standby control was implemented in a 0.13µm tech-
nology. Designed for ultra low-power applications, this
scheme puts the entire SRAM into deep sleep during the
system standby period. As shown in Fig. 4, the SRAM sup-
ply rail are connected to the standard VDD and the standby
VDD through two big power switches. A customized on-chip
SC converter generates the standby VDD with high conver-
sion efficiency. Compared to existing SRAM leakage control
techniques, the simplicity of this scheme leads to minimized
design effort and maximum leakage saving during standby
mode, which is desired for battery-supported systems.
3.1. Design considerations
3.1.1. Standby stability and noise margin analysis. In
an actual implementation, reducing VDD all the way down to
the DRV is not really an option, as other mechanisms may
disrupt the state of the memory cell. More particurly, these
are the noise on the supply rail (mostly caused by the output
voltage ripple of the switched-capacitor converter), as well
as radiation particles. To offset these effects, an appropriate
noise margin has to be provided. Simulation shows that
assigning a guard band of 100mV above DRV for standby
VDD gives 60mV in SRAM cell Static Noise Margin (SNM),
and that the SNM degrades linearly with the VDD guard band
(becoming zero at the DRV). Here, the SNM is defined as
the edge of the maximum square that can fit into the cross
section of the VTC diagram of the cross-coupled inverters
[6]. A guard band of 100mV over the DRV is sufficient to
overcome the 20mV peak-to-peak ripple on the standby VDD
(with worst case SNM of 55mV).
The radiation particle events pose a more serious hazard.
With data storage node parasitic capacitance around 1fF in a
0.13µm technology SRAM cell, the critical charge (Qcritical)
for a 1V VDD is approximately 3fC. For a reduced VDD at 100
mV above the DRV, Qcritical is reduced to 0.5fC. To ensure
reliable state preservation, future memories may have to add
additional storage capacitance [7]. Another option to combat
the effect of soft errors is to apply error-correction schemes.
3.1.2. Dual voltage scheme design concerns. Main
considerations in a dual supply scheme include the operation
delay overhead due to the power switch resistance, memory
wake up delay and the power penalty during mode transition.
Targeted for ultra low-power applications, the system
requirements of this design are much more stringent on
power than performance [1]. In such a situation the concern
of the operation delay overhead is not that crucial. A 200µm
wide PMOS power switch with 30Ω conducting resistance is
used to connect the memory module to a 1V operation
supply voltage. With the same switch the memory wake up
time is simulated to be within 10ns, which is typically a
small fraction of the target application system cycle time.
The wake up power penalty incurred during switching
from the standby mode to the full-VDD mode determines the
minimum standby time for the scheme if net power saving
over one standby period are to be acheieved. This break-even
time is an important system-design parameter, as it helps the
power control algorithm to decide when a power-down
would be beneficial. With the parasitic capacitance
information attained from process model, the minimum
standby time in this design is estimated to be around tens of
microseconds, which is much shorter than the typical system
idle time in a battery-supported system.
3.2. Test chip implementation
Layout of the 0.13µm SRAM test chip is shown in
Fig. 5. The two main components are a 4KB SRAM module
and a Switch Capacitor (SC) converter. This memory is an
IP module with no modifications from its original design. As
shown in Fig. 6, a representative five-stage step down dc-dc
switch capacitor topology is selected to implement the on-
chip standby VDD generator [8]. Compared to magnetic-based
voltage regulators, SC converter provides higher efficiency,
smaller output current ripple, and easier on-chip integration
for small loads in the microwatt range. The design challenge
VDD
4k Bytes
SRAM
Stby
5:1
SC
Conv
Figure 4. Standby leakage suppression scheme.
here resides in handling small output load in the range of
10~20µW. With such low power operation, power loss in-
curred by short-circuit currents during phase switching be-
comes comparable to output power and forms a significant
portion of total power loss. To maximize power efficiency, it
is desirable to minimize both the switching voltage drop and
short-circuit current, which have opposite dependence on
device sizes. Hence the size and type selection of the switch
devices (i.e., NMOS vs. PMOS) are carefully tuned to bal-
ance these two requirements. Fig. 6 shows the optimized
design, in which an 85% conversion efficiency is achieved
with a 1V input and an output load of estimated SRAM
module leakage at standby mode.
4. Measurement results
4.1. DRV measurement
The DRV is measured by monitoring the data retention
capability of an SRAM cell with different values of standby
VDD, as demonstrated in Fig. 7. With VDD switching between
active and standby modes, a specific state is written into the
SRAM cell under test at the end of each active period (t2),
and then read out at the beginning of the next active period
(t1). Preservation of the assigned logic state is observed when
standby VDD is higher than DRV, while the state is lost when
standby VDD is below DRV.
The DRV was measured for 50 SRAM cells. Figure 8
shows the distribution of the total measurement results. The
DRV values range from 80mV to 250mV with the mean
value of 170mV at an approximately normal distribution.
Such a wide range of DRV uncertainty reflects the existence
of considerable process variations during fabrication. The 78
mV ideal DRV, assuming perfect process matching as ob-
tained by simulation and analytical modeling, shows up as
the lower bound of the measured DRV distribution. With
mismatches included, the measured mean value of 170mV
matches the analytical model with 3σ variations in Vth and
channel length. Moreover, temperature dependency of DRV
was investigated with measurement. When the test chip was
heated up to 100°C, DRV was obtained as 183mV, which
verifies the calculation of 181mV by Eq. (13). As evaluated
in Sec. 2, our analytical DRV model not only predicts the
ideal DRV values, but also fully captures the impact of proc-
ess and temperature variations. Thus, it can serve as a con-
venient base for further design optimizations.
4.2. SRAM leakage measurement
Leakage measurement result of the 4KB SRAM is shown
in Fig. 9. It increases exponentially when VDD is high. Simi-
lar as observed in the DRV measurement, this phenomenon
reflects the impact of process variations on SRAM leakage,
more specifically the fluctuations in channel length and Vth.
For short channel transistors, drain-induced-barrier-lowering
(DIBL) effect further causes severe Vth degradation, resulting
in even higher leakage in high-VDD conditions. Furthermore,
the shaded area in Fig. 9 indicates the range of measured
DRV (80-250mV). While the memory states can be pre-
served at sub-300mV VDD, adding an extra guard band of
100mV to the standby VDD enhances the noise robustness of
Figure 5. A 0.13µm SRAM leakage-control test chip.
C
C
C
C
C Rmem
1V
C Rmem
CCCC
Clk
Equalizing phase
Charging phase
Clk
Clk
Clk
Clk
(b) Operation phases
(a) SC Conv design
0.66
Rmem
0.66 0.50 0.50 0.50
0.240.24 0.35 0.350.24 0.350.24 0.35
10 pF 10 pF10 pF 10 pF 10 pF
(numbers indicate transistor w idth in microns)
Figure 6. (a) Schematic of switch capacitor converter,
(b) Operation phases.
100 150 200 250
0
5
10
15
DRV (mV)
HistogramDataPoints
Figure 8. Distribution of measured DRV data.
SRAM
supply
End of
standby
t1
Data
output
(a) (b)
t1 t2t2
State “1”
restored
End of
standby
State “0”
restored
SRAM
supply
End of
standby
t1
Data
output
(a) (b)
t1 t2t2
State “1”
restored
End of
standby
State “0”
restored
Figure 7. Waveform of DRV measurement.
(a) DRV = 190mV in SRAM cell 1 with state “1”,
(b) DRV = 180mV in SRAM cell 2 with state “0”.
state preservation as analyzed in section 3.1.1. With the re-
sulting 350mV standby VDD, SRAM leakage current can still
be reduced by over 80%. Subsequently the leakage power, as
the product of VDD and leakage current, is efficiently reduced
by more than 90%.
4.3. Dual rail standby scheme measurement
The dual rail scheme is shown to be fully functional
through the DRV measurements. With 10MHz switch con-
trol signal, the SC converter generates the standby VDD with
less than 20mV peak-to-peak ripple. Wake up time of 10ns is
observed during mode transition, while the sleep time spans
around 10µs. Delay overhead during active operation is
measured to be about 2X, which is reasonable for an ultra
low-power application where the system clock period is typi-
cally 10 times the operation cycle of a low leakage SRAM.
5. SRAM DRV minimization: Analysis
While SRAM designs have been well optimized for
speed and power metrics, improving DRV for future ultra
low-voltage applications poses a new challenge for low-
power SRAM designers. Results from previous sections have
illustrated the importance of process variations, chip tem-
perature, and transistor sizing on DRV. A thorough under-
standing of their impacts on DRV and other performance
metrics provides insight into SRAM cell design for ultra
low-voltage and ultra low-power designs.
5.1. Process variations and temperature impacts
Process variation and temperature fluctuation are the
main imperfections in a real environment that cause degrada-
tions in circuit performance. Figure 10 shows the simulated
effect of these two parameters on SRAM DRV. Data shows
that process variations play a critical role in determining
DRV, which increases by 100mV in the presence of 3σ mis-
matches in Vth and channel length (L). On the other hand,
temperature affects DRV in a less severe manner. When T
changes from 27°C to 100°C, DRV rises about 13mV, which
was verified by test chip measurement. The difference in
process variation and T effects on DRV is because fluctua-
tion in T affects all transistors in an SRAM cell uniformly,
while local process variations may change the drive strength
ratio between transistors, resulting in an exacerbated sub-Vth
VTC mismatch and thus, a substantial DRV increment. Both
effects are well captured by the analytical models in Sec. 2.
As observed from measurement and simulation results in
previous sections, minimizing process variation is the most
effective method to reduce DRV. While process variation
control becomes more difficult in future technology nodes, it
will define an effective lower bound on SRAM VDD. Another
way to improve data preservation under low VDD is to ensure
low temperature operation (e.g., at room temperature), which
is easier for ultra low-power applications.
5.2. Sizing optimization
While sizing has long been an effective tool in conven-
tional power and speed optimization, taking DRV into ac-
count further improves future ultra low-power SRAM de-
signs. This section presents DRV-aware SRAM cell optimi-
zation analysis based on transistor sizing. Here, leakage
power is considered as the power metric since this analysis
targets ultra low-power design.
5.2.1. Read delay and area cost models. To facilitate
analytical SRAM cell optimization, delay and area models as
a function of transistor sizing are developed. In this model,
the SRAM access delay is considered as the time required to
pull down the bit-line voltage by 15% of VDD. Starting from
a simple hand-calculation model, a curve-fitting approach is
used to develop a more accurate and scalable delay model as
given by analytical derivation:






−⋅−⋅+⋅⋅
⋅++⋅
=
2
1
)(
223
0
NWNWWread
WBLBLW
read
SSCSSBSAK
SKCSK
t
, (16)
In this model SW, SN represent the (W/L) ratio of the
write access transistor and the NMOS pull down transistor,
respectively, while parameters K, Kread, A, B, and C are fit-
ting parameters. They are related, but not equal to the fol-
lowing physical parameters:
DD
DSATnread
V
VkK
2
'
2
⋅⋅= ,
DSAT
thWDD
V
VV
A
−
=
, (17.a)
)(2 thNDD
DSAT
VV
V
B
−
=
,
DSATDD
DSATthWDD
VV
VVV
C
−
−−
=
2 . (17.b)
Fitting parameters CBL0 and KBL define a relationship be-
tween the bit-line capacitance CBL and the W/L ratio SW of
access transistors. The delay model fits simulated results as
shown in Fig. 11. Due to a high layout density of an SRAM,
the SRAM cell area is simply modeled as a linear function of
the total transistor area.
5.2.2. DRV minimization. In conventional performance-
optimized SRAM cell design, the pull-down NMOS devices
0 0.2 0.4 0.6 0.8 1
0
10
20
30
40
50
60
Supply Voltage (V)
4KBSRAMLeakageCurrent(µA)
Measured
DRV range
Figure 9. Measured SRAM leakage current.
0 1 2 3 4 5 6
100
150
200
250
300
Process variation (σ)
DRV(mV)
T = 100
o
C
T = 27
o
C
~100mV due
to process
variation (3σ)
~13mV due
to temperature
fluctuation
Figure 10. DRV under Process and T variations.
are sized substantially larger than the PMOS devices. This
imbalance in the pull-up and pull-down paths leads to exac-
erbated VTC deterioration at low VDD, and degrades DRV. It
can be observed from Fig. 3 that by either decreasing the
NMOS size or increasing the PMOS size DRV can be effec-
tively reduced due to better balance in VTC. Further taking
delay and area costs into account, NMOS tuning proves to be
more desirable. This is because smaller NMOS improves
both DRV and area at certain delay penalty, while larger
PMOS only improves DRV and pays large area cost, which
is expensive in memory design.
SRAM cell optimization tradeoffs are shown in Fig. 12,
where the marked nominal case is a commercial perform-
ance-optimized cell design. Fig. 12a plots the lower bound
on DRV for both 3σ process variation (DRV0 = 170mV) and
perfect matching (DRV0 = 78mV) versus NMOS size. In
both cases DRV can be reduced by 20~30mV at some delay
penalty. Tradeoffs between delay, leakage power and area
are illustrated in Fig. 12b. It can be observed that around the
nominal design point, area is best traded off for performance.
However, leakage power is then best traded for performance
at delay of about 20% higher than the nominal point, with
area reduced at the same time. Therefore, reducing size of
the cell both lowers the area and the leakage power at the
expense of increased delay. At a 30% delay increase, a 50%
leakage power reduction can be achieved. Furthermore, since
Ileak is relatively insensitive to VDD in the range around DRV
as compared to high-VDD condition (see Fig. 10), there is
only slight difference in leakage power for the cases with no
process variation and 3σ variation.
6. Conclusions and future work
This paper explores the limit of SRAM data preservation
under ultra-low standby VDD. An analytical model of the
SRAM DRV is developed and verified with measurement
results. A commercial SRAM module with high-Vth process
is shown to be capable of sub-300mV standby data preserva-
tion. Under this low standby VDD, leakage power saving of
more than 90% can be achieved with a dual-rail standby
scheme designed for ultra low-power applications. The DRV
is observed to be a strong function of process variation and
SRAM cell sizing, while the design tradeoffs between read
delay, area and leakage power can be optimized.
While existing approaches generally involve significant
tradeoffs with other performance metrics or technology lim-
its, more opportunities exist on architectural level innova-
tions. As an example, more SRAM leakage savings can be
achieved with assistance from error tolerant schemes when
the standby supply voltage is scaled down beyond the limit
of DRV. Future work will be focused on exploiting design
techniques to achieve even lower power and higher reliabil-
ity in memory design.
Acknowledgements
The sponsorship of the GSRC MARCO center and fabri-
cation support from ST Microelectronics are greatly ac-
knowledged. The authors would also like to thank to Profes-
sor Seth Sanders and Dr. Bhusan Gupta for their enlighten-
ing technical advice.
References
[1] J. Rabaey et al, “PicoRadios for Wireless Sensor Networks: The
Next Challenge in Ultra-Low-Power Design,” Proc. of ISSCC,
pp. 200-201, Feb 2002.
[2] K. Itoh, “Low Voltage Memories for Power-Aware Systems,”
Proc. ISLPED, pp. 1-6, Aug. 2002.
[3] S. Kaxiras, Z. Hu, and M. Martonosi, “Cache decay: Exploiting
generational behavior to reduce cache leakage power,” Proc. of
ISCA, pp. 240-251, Jun-Jul 2001.
[4] K. Flautner et al, “Drowsy caches: simple techniques for reduc-
ing leakage power,” Proc. of ISCA, pp. 148-157, May 2002.
[5] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated
Circuits: A Design Perspective, 2nd
edition, Prentice-Hall 2002.
[6] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin
analysis of MOS SRAM cells”, IEEE J. Solid-State Circuits,
vol. SC-22, No. 5, pp. 748-754, Oct. 1987.
[7] C. Lage et al., “Soft error rate and stored charge requirements in
advanced high-density SRAMs,” Proc. of IEDM, pp. 821-824,
Dec.1993.
[8] K.D.T. Ngo and R. Webster, “Steady-state analysis and design
of a switched-capacitor DC-DC converter,” Proc. of PESC, pp.
378-385, Jun-Jul 1992.
0 1 2 3 4
0.5
1
1.5
Width factor SW
of access transistors
tread
(ns)
SN
=2.48
SN
=2.12
SN
=1.77
SN
=1.42
SN
=1.06
Simulation
Model
Figure 11. Read delay model fitting at VDD = 1V.
0 1 2 3 4
0
50
100
150
200
SN
/ SN
nom
DRV(mV)
DRV0
=170mV
DRV0
=78mV
(a)
(3σ variation)
(no variaiton)
0 0.5 1 1.5 2 2.5
0
0.5
1
1.5
2
tread
/ tread
nom
pLk
/pLk
nom
,Area/Area
nom
Cell Area
DRV0
=170mV
DRV0
=78mV
pLk
(b)
Figure 12. SRAM cell design tradeoffs:
(a) DRV vs. NMOS transistor size,
(b) Leakage power and area vs. read delay.

More Related Content

What's hot

Internship phase 1 - 08 07 2012
Internship phase 1 - 08 07 2012 Internship phase 1 - 08 07 2012
Internship phase 1 - 08 07 2012 Yassine Rayad
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design and Implementation of Two Stage Operational Amplifier
Design and Implementation of Two Stage Operational AmplifierDesign and Implementation of Two Stage Operational Amplifier
Design and Implementation of Two Stage Operational AmplifierIRJET Journal
 
Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]Srinivas Naidu
 
A Novel Nonlinear Control of Boost Converter using CCM Phase Plane
A Novel Nonlinear Control of Boost Converter using CCM Phase PlaneA Novel Nonlinear Control of Boost Converter using CCM Phase Plane
A Novel Nonlinear Control of Boost Converter using CCM Phase PlaneIJECEIAES
 
DigSILENT PF - 05 short circuit theory
DigSILENT PF - 05 short circuit theoryDigSILENT PF - 05 short circuit theory
DigSILENT PF - 05 short circuit theoryHimmelstern
 
Dynamic floating output stage for low power buffer amplifier for lcd application
Dynamic floating output stage for low power buffer amplifier for lcd applicationDynamic floating output stage for low power buffer amplifier for lcd application
Dynamic floating output stage for low power buffer amplifier for lcd applicationVLSICS Design
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsIJERA Editor
 
Adoption of Park’s Transformation for Inverter Fed Drive
Adoption of Park’s Transformation for Inverter Fed DriveAdoption of Park’s Transformation for Inverter Fed Drive
Adoption of Park’s Transformation for Inverter Fed DriveIJPEDS-IAES
 
200 m hz flash adc
200 m hz flash adc200 m hz flash adc
200 m hz flash adcVũ Đình
 
Design of High-Speed Dynamic Double-Tail Comparator
Design of High-Speed Dynamic Double-Tail ComparatorDesign of High-Speed Dynamic Double-Tail Comparator
Design of High-Speed Dynamic Double-Tail ComparatorIJERDJOURNAL
 
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIERAGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIEREditor IJMTER
 
Synchronous Rectification for Forward Converters_SMappus_June 4 2010
Synchronous Rectification for Forward Converters_SMappus_June 4 2010Synchronous Rectification for Forward Converters_SMappus_June 4 2010
Synchronous Rectification for Forward Converters_SMappus_June 4 2010Steve Mappus
 

What's hot (20)

2002 15
2002 152002 15
2002 15
 
Internship phase 1 - 08 07 2012
Internship phase 1 - 08 07 2012 Internship phase 1 - 08 07 2012
Internship phase 1 - 08 07 2012
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Design and Implementation of Two Stage Operational Amplifier
Design and Implementation of Two Stage Operational AmplifierDesign and Implementation of Two Stage Operational Amplifier
Design and Implementation of Two Stage Operational Amplifier
 
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low P...
 
Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]Analysis and design_of_a_low-voltage_low-power[1]
Analysis and design_of_a_low-voltage_low-power[1]
 
A Novel Nonlinear Control of Boost Converter using CCM Phase Plane
A Novel Nonlinear Control of Boost Converter using CCM Phase PlaneA Novel Nonlinear Control of Boost Converter using CCM Phase Plane
A Novel Nonlinear Control of Boost Converter using CCM Phase Plane
 
U26130135
U26130135U26130135
U26130135
 
DigSILENT PF - 05 short circuit theory
DigSILENT PF - 05 short circuit theoryDigSILENT PF - 05 short circuit theory
DigSILENT PF - 05 short circuit theory
 
Dynamic floating output stage for low power buffer amplifier for lcd application
Dynamic floating output stage for low power buffer amplifier for lcd applicationDynamic floating output stage for low power buffer amplifier for lcd application
Dynamic floating output stage for low power buffer amplifier for lcd application
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
 
Adoption of Park’s Transformation for Inverter Fed Drive
Adoption of Park’s Transformation for Inverter Fed DriveAdoption of Park’s Transformation for Inverter Fed Drive
Adoption of Park’s Transformation for Inverter Fed Drive
 
S35102109
S35102109S35102109
S35102109
 
200 m hz flash adc
200 m hz flash adc200 m hz flash adc
200 m hz flash adc
 
15
1515
15
 
Kf3617641768
Kf3617641768Kf3617641768
Kf3617641768
 
Design of High-Speed Dynamic Double-Tail Comparator
Design of High-Speed Dynamic Double-Tail ComparatorDesign of High-Speed Dynamic Double-Tail Comparator
Design of High-Speed Dynamic Double-Tail Comparator
 
REPORT
REPORTREPORT
REPORT
 
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIERAGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
AGING EFFECT TOLERANT MULTIPRECISION RAZOR-BASED MULTIPLIER
 
Synchronous Rectification for Forward Converters_SMappus_June 4 2010
Synchronous Rectification for Forward Converters_SMappus_June 4 2010Synchronous Rectification for Forward Converters_SMappus_June 4 2010
Synchronous Rectification for Forward Converters_SMappus_June 4 2010
 

Similar to Sram leakage suppression by minimizing standby supply voltage

RobertPresentation.ppt
RobertPresentation.pptRobertPresentation.ppt
RobertPresentation.pptRevathiMohan14
 
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparator
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched ComparatorHigh Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparator
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparatoriosrjce
 
All digital wide range msar controlled duty cycle corrector
All digital wide range msar controlled duty cycle correctorAll digital wide range msar controlled duty cycle corrector
All digital wide range msar controlled duty cycle correctoracijjournal
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
 
Date 11062013Project Recommendation for a Fuel Cell ModelS.docx
Date 11062013Project Recommendation for a Fuel Cell ModelS.docxDate 11062013Project Recommendation for a Fuel Cell ModelS.docx
Date 11062013Project Recommendation for a Fuel Cell ModelS.docxtheodorelove43763
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...VLSICS Design
 
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTER
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTERHARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTER
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTERJournal For Research
 
An approach to design Flash Analog to Digital Converter for High Speed and Lo...
An approach to design Flash Analog to Digital Converter for High Speed and Lo...An approach to design Flash Analog to Digital Converter for High Speed and Lo...
An approach to design Flash Analog to Digital Converter for High Speed and Lo...VLSICS Design
 
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...VLSICS Design
 
ijre paper
ijre paperijre paper
ijre paperNithin S
 
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches IJECEIAES
 
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...Asoka Technologies
 

Similar to Sram leakage suppression by minimizing standby supply voltage (20)

RobertPresentation.ppt
RobertPresentation.pptRobertPresentation.ppt
RobertPresentation.ppt
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparator
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched ComparatorHigh Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparator
High Speed, Low Offset, Low Power, Fully Dynamic Cmos Latched Comparator
 
All digital wide range msar controlled duty cycle corrector
All digital wide range msar controlled duty cycle correctorAll digital wide range msar controlled duty cycle corrector
All digital wide range msar controlled duty cycle corrector
 
Dcgris3
Dcgris3Dcgris3
Dcgris3
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
Date 11062013Project Recommendation for a Fuel Cell ModelS.docx
Date 11062013Project Recommendation for a Fuel Cell ModelS.docxDate 11062013Project Recommendation for a Fuel Cell ModelS.docx
Date 11062013Project Recommendation for a Fuel Cell ModelS.docx
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...
DESIGN AND IMPLEMENTATION OF 10 BIT, 2MS/s SPLIT SAR ADC USING 0.18um CMOS TE...
 
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTER
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTERHARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTER
HARMONIC ANALYSIS OF THREE LEVEL DIODE CLAMPED INVERTER
 
H010245763
H010245763H010245763
H010245763
 
An approach to design Flash Analog to Digital Converter for High Speed and Lo...
An approach to design Flash Analog to Digital Converter for High Speed and Lo...An approach to design Flash Analog to Digital Converter for High Speed and Lo...
An approach to design Flash Analog to Digital Converter for High Speed and Lo...
 
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
DESIGN OF IMPROVED RESISTOR LESS 45NM SWITCHED INVERTER SCHEME (SIS) ANALOG T...
 
ijre paper
ijre paperijre paper
ijre paper
 
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches
Design and Simulation of Novel 11-level Inverter Scheme with Reduced Switches
 
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...
Multilevel Cascaded-TypeDynamic Voltage Restorer with Fault Current Limiting ...
 
Ai34212218
Ai34212218Ai34212218
Ai34212218
 

Recently uploaded

Final demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxFinal demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxAvyJaneVismanos
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon AUnboundStockton
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Painted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaPainted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaVirag Sontakke
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Blooming Together_ Growing a Community Garden Worksheet.docx
Blooming Together_ Growing a Community Garden Worksheet.docxBlooming Together_ Growing a Community Garden Worksheet.docx
Blooming Together_ Growing a Community Garden Worksheet.docxUnboundStockton
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxRaymartEstabillo3
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
History Class XII Ch. 3 Kinship, Caste and Class (1).pptx
History Class XII Ch. 3 Kinship, Caste and Class (1).pptxHistory Class XII Ch. 3 Kinship, Caste and Class (1).pptx
History Class XII Ch. 3 Kinship, Caste and Class (1).pptxsocialsciencegdgrohi
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerunnathinaik
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Celine George
 
Biting mechanism of poisonous snakes.pdf
Biting mechanism of poisonous snakes.pdfBiting mechanism of poisonous snakes.pdf
Biting mechanism of poisonous snakes.pdfadityarao40181
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Science lesson Moon for 4th quarter lesson
Science lesson Moon for 4th quarter lessonScience lesson Moon for 4th quarter lesson
Science lesson Moon for 4th quarter lessonJericReyAuditor
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 

Recently uploaded (20)

Final demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptxFinal demo Grade 9 for demo Plan dessert.pptx
Final demo Grade 9 for demo Plan dessert.pptx
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon A
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Painted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of IndiaPainted Grey Ware.pptx, PGW Culture of India
Painted Grey Ware.pptx, PGW Culture of India
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Blooming Together_ Growing a Community Garden Worksheet.docx
Blooming Together_ Growing a Community Garden Worksheet.docxBlooming Together_ Growing a Community Garden Worksheet.docx
Blooming Together_ Growing a Community Garden Worksheet.docx
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
History Class XII Ch. 3 Kinship, Caste and Class (1).pptx
History Class XII Ch. 3 Kinship, Caste and Class (1).pptxHistory Class XII Ch. 3 Kinship, Caste and Class (1).pptx
History Class XII Ch. 3 Kinship, Caste and Class (1).pptx
 
internship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developerinternship ppt on smartinternz platform as salesforce developer
internship ppt on smartinternz platform as salesforce developer
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
 
Biting mechanism of poisonous snakes.pdf
Biting mechanism of poisonous snakes.pdfBiting mechanism of poisonous snakes.pdf
Biting mechanism of poisonous snakes.pdf
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Science lesson Moon for 4th quarter lesson
Science lesson Moon for 4th quarter lessonScience lesson Moon for 4th quarter lesson
Science lesson Moon for 4th quarter lesson
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 

Sram leakage suppression by minimizing standby supply voltage

  • 1. SRAM Leakage Suppression by Minimizing Standby Supply Voltage Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, and Jan Rabaey Department of EECS, University of California at Berkeley, Berkeley, CA 94720, USA Abstract Suppressing the leakage current in memories is critical in low-power design. By reducing the standby supply voltage (VDD) to its limit, which is the Data Retention Voltage (DRV), leakage power can be substantially reduced. This paper ex- plores how low DRV can be in a standard low leakage SRAM module and analyzes how DRV is affected by parame- ters such as process variations, chip temperature, and tran- sistor sizing. An analytical model for DRV as a function of process and design parameters is presented, and forms the base for further design space explorations. This model is verified using simulations as well as measurements from a 4KB SRAM chip in a 0.13µm technology. It is demonstrated that an SRAM cell state can be preserved at sub-300mV standby VDD, with more than 90% leakage power savings. 1. Introduction One of the negative side effects of technology scaling is that leakage power of on-chip memory increases dramati- cally and forms one of the main challenges in future system- on-a-chip (SoC) design. In battery-supported applications with low duty-cycles, such as the Pico-Radio wireless sensor nodes [1], cellular phones, or PDAs, leakage power can dominate system power consumption and determine battery life. Therefore, an efficient memory leakage suppression scheme is critical for the success of ultra low-power design. Various techniques have been proposed to reduce the SRAM sub-threshold leakage power. At the circuit level, dynamic control of transistor gate–source and substrate– source back bias were exploited to create low leakage paths during standby periods [2]. Yet these approaches require many modifications on the SRAM cell structure, resulting in a large design and area overhead. Furthermore, the applica- tion of reversed body bias exacerbates within-die variations in threshold voltage. At the architectural level, leakage re- duction techniques include gating off the supply voltage (VDD) of idle memory sections, or putting less frequently used sections into drowsy standby mode. These approaches exploited the quadratic reduction of leakage power with VDD, and achieved optimal power-performance tradeoffs with assistance of compiler-level cache activity analysis. The cache delay technique applied adaptive timing policies in cache line gating, achieving 70% leakage saving at modest performance penalty [3]. To further exploit leakage control on caches with large utilization ratio, the approach of drowsy caches allocated inactive cache lines to a low-power mode, where VDD was lowered but with memory data preserved [4]. While the drowsy caches approach can achieve leakage energy savings of over 70% in a data cache, the question remains on the lower bound of standby VDD that still pre- serves data. Knowledge of the minimum low-power mode supply voltage allows a designer to exploit the maximum achievable leakage reduction for a given technology. Under- standings of low voltage SRAM data preservation behavior further open the opportunity for aggressive memory supply voltage minimization, which has been the bottleneck in VLSI system voltage scaling. Driven by the requirements of ultra low-power applications, this paper presents the first work on exploring the limit of SRAM low voltage data pres- ervation under realistic conditions. In SRAM design, the Data Retention Voltage (DRV) de- fines the minimum VDD under which the data in a memory unit is still preserved. An analytical model of DRV is devel- oped to investigate the dependence of DRV on process and design parameters (Section 2). To verify the new model and further understand the limitations of DRV under realistic conditions, a 4KB SRAM test chip with dual-rail supply scheme was designed and fabricated in a 0.13µm technol- ogy, as introduced in Section 3. This scheme targets ultra low-power applications and uses a customized on-chip switch capacitor converter to generate standby VDD. Section 4 pre- sents measurement results of the SRAM data preservation and leakage suppression. Impact of various process and de- sign factors on DRV is analyzed in Section 5. Finally, Sec- tion 6 concludes current work and proposes future directions. 2. Data retention voltage analysis The circuit structure of a 6T SRAM cell is shown in Fig. 1. When VDD is reduced to DRV, all six transistors are in the sub-threshold (sub-Vth) region, thus the capability of SRAM data retention strongly depends on the sub-Vth current conduction behavior (i.e., leakage). In order to understand VDD V1 M4 M3 M6 M5 M2 M1 Leakage current V2 Leakage current VDD VDD 0 0 Figure 1. Standard 6T SRAM cell structure.
  • 2. the low voltage data preservation behavior of SRAM and the potential for leakage saving through minimizing standby VDD, analytical models of SRAM DRV and cell leakage current are developed in this section. In a standard SRAM cell (Fig. 1), when VDD scales down to DRV, the voltage transfer curves (VTC) of the internal inverters degrade to such a level that noise margin of the SRAM cell degrades to zero, as illustrated in Fig. 2. Using the notations of Fig. 1, this condition is given by: DRVVwhen, DD inverterRight2 1 inverterLeft2 1 = ∂ ∂ = ∂ ∂ V V V V . (1) If VDD is further reduced below DRV, the inverters flip to the biased state determined by the deteriorated VTC and lose the capability to preserve the stored data. Based on this observation, the DRV of an SRAM cell can be determined by solving the sub-Vth VTC equations of the two internal data holding inverters, since all the transis- tors conduct in weak inversion region when VDD is around DRV. The derivation is presented below. When an SRAM cell (Fig. 1) is in standby mode, the cur- rents in each internal inverter are balanced: 2511 :VNode III =+ , (2) 4632 :VNode III =+ . (3) Assuming that during standby V1 ≈ 0 and V2 ≈ VDD, (4) and assuming that the bit-lines are set to VDD, I6 is negligible and Eq. (3) can be simplified to: 432 :VNode II = . (5) In Eqs. (2, 3, 5), Ii is the conduction current of the ith transis- tor (Fig. 1) in the sub-Vth region. Considering that Ii is domi- nated by the drain-source leakage in current technology (i.e., ignoring gate leakage and other leakage mechanisms which have minor effects compared to the sub-Vth current), Ii is modeled as in [5]: ( ))//( 0 1expexp qkTV i GS i th ii DS e qkTn V qkTn V ISI − −⋅      ⋅      − = ,(6) where Si is the transistor (W/L) ratio; I0 is a process-specific current at VGS=Vth for a transistor with W/L=1; T is the chip temperature; and ni is the sub-Vth factor, (sub-Vth swing /60mV at room temperature). If we further define:       − = qkTn V ISA i th ii exp0 , (7) Ii can be expressed as: ( ))//( 1exp qkTV i GS ii DS e qkTn V AI − −⋅      ⋅= . (8) Substituting these current models, which are functions of V1, V2, VDD, T, and other technology parameters, into Eqs. (2, 5), we obtain the VTCs of the inverters in the cell. Then, to- gether with Eq. (1), the value of the DRV (and the corre- sponding V1 and V2) can be derived. A general solution to these equations requires numerical iterations. To avoid the iterations, we first estimate the initial value of DRV (DRV1), using the approximations in Eq. (4): ( ) ( )                 + ++⋅ + = −−− −− −− 11 2 1 1 1 2 5 32 41 4 1 31 3 1 2 1 log nn A n A AA A nn nn qkT DRV , (9) where kT/q equals 26mV when T = 27°C. Then, using DRV1, the approximations in Eq. (4) are refined as:       − ⋅ + ⋅= qkTn DRV A AA q kT V 2 1 2 51 1 exp , (10)       − ⋅⋅−= qkTn DRV A A q kT DRVV 3 1 3 4 12 exp . (11) With Eqs. (10-11) available, we can refine the calcula- tion of DRV and a final expression is obtained: ( )       ⋅− ++= 22 2211 1 nVDRVV DRVDRV . (12) The above DRV formula only relies on the values of Ai and ni, which can be easily extracted from transistor charac- terizations (either by simulation or measurement). In addi- tion, it captures the dependence of DRV on process varia- tions in transistors, sizing Si, and chip temperature T. Based on Eqs. (7-12), the impact of these process and design fac- tors on DRV can be formulated as: 0 i i i thi i ii S DRV DRV a b V c T S ∆ ∆ = + + ∆ + ∆∑ ∑ , (13) where DRV0 is the nominal value at room temperature; ai, bi, and c are fitting coefficients. With the 0.13µm technology used and considering an industrial SRAM cell sized for op- timal performance, the DRV formula predicts DRV0 = 77mV at perfect matching and DRV0 = 169mV with 3σ variations in Vth and channel length. These analytical results match well with SPICE simulated values of 78mV and 170mV, respec- tively. The model coefficients ai’s are extracted from simula- tions: a1 = 10mV, a3 = -41mV, a4 = 11mV (a2 is negligible); 0 0.1 0.2 0.3 0.4 0 0.1 0.2 0.3 0.4 V1 (V) V2 (V) VTC1 VTC2 VDD =0.18V VDD =0.4V VTC of SRAM cell inverters Figure 2. Deterioration of inverter VTC under low-VDD, with zero SRAM cell noise margins at DRV. 0 1 2 3 140 150 160 170 180 190 Width Scaling Factor DRV(mV) M1 M3 M4 Model Figure 3. Modeled and simulated DRV as a function of transistor width scaling.
  • 3. bi is about 10mV, depending on bias condition. As demon- strated in Fig. 3, Eq. (13) fully captures the impact of transis- tor sizing on DRV. Due to the competing behavior of PMOS devices M1 and M3, they have different roles in state preser- vation. Fig. 3 infers that transistor sizing is an effective means in tuning the DRV of an SRAM cell, which will be further explored in Section 5. Temperature coefficient c is extracted as 0.169mV/°C, which predicts an increase of 12.3mV in DRV when T rises from 27°C to 100°C. With DRV obtained, the total leakage of an SRAM cell in the sub-Vth region can be calculated as:             − −−⋅      +             −−⋅      = += qkT VDRV qkTn V iS qkT V qkTn V iS IIIleak 2 1 1 44 1 2 2 22 42 exp1exp exp1exp (14) where i=I0·exp[-Vth/(n·kT/q)]; i2 = 2.95µA and i4 = 6.78µA; DRV, V1, and V2 are calculated from Eqs. (10-13). Thus, the leakage power Pleak under DRV is: leakleak IDRVP ⋅= . (15) Leakage current Ileak as provided in Eq. (14) represents the minimum achievable leakage while preserving state. 3. Ultra low voltage SRAM standby: Design and implementation To obtain silicon verification of the presented DRV model and explore the potential of SRAM leakage suppres- sion with ultra low standby VDD, a 4KB SRAM test chip with dual rail standby control was implemented in a 0.13µm tech- nology. Designed for ultra low-power applications, this scheme puts the entire SRAM into deep sleep during the system standby period. As shown in Fig. 4, the SRAM sup- ply rail are connected to the standard VDD and the standby VDD through two big power switches. A customized on-chip SC converter generates the standby VDD with high conver- sion efficiency. Compared to existing SRAM leakage control techniques, the simplicity of this scheme leads to minimized design effort and maximum leakage saving during standby mode, which is desired for battery-supported systems. 3.1. Design considerations 3.1.1. Standby stability and noise margin analysis. In an actual implementation, reducing VDD all the way down to the DRV is not really an option, as other mechanisms may disrupt the state of the memory cell. More particurly, these are the noise on the supply rail (mostly caused by the output voltage ripple of the switched-capacitor converter), as well as radiation particles. To offset these effects, an appropriate noise margin has to be provided. Simulation shows that assigning a guard band of 100mV above DRV for standby VDD gives 60mV in SRAM cell Static Noise Margin (SNM), and that the SNM degrades linearly with the VDD guard band (becoming zero at the DRV). Here, the SNM is defined as the edge of the maximum square that can fit into the cross section of the VTC diagram of the cross-coupled inverters [6]. A guard band of 100mV over the DRV is sufficient to overcome the 20mV peak-to-peak ripple on the standby VDD (with worst case SNM of 55mV). The radiation particle events pose a more serious hazard. With data storage node parasitic capacitance around 1fF in a 0.13µm technology SRAM cell, the critical charge (Qcritical) for a 1V VDD is approximately 3fC. For a reduced VDD at 100 mV above the DRV, Qcritical is reduced to 0.5fC. To ensure reliable state preservation, future memories may have to add additional storage capacitance [7]. Another option to combat the effect of soft errors is to apply error-correction schemes. 3.1.2. Dual voltage scheme design concerns. Main considerations in a dual supply scheme include the operation delay overhead due to the power switch resistance, memory wake up delay and the power penalty during mode transition. Targeted for ultra low-power applications, the system requirements of this design are much more stringent on power than performance [1]. In such a situation the concern of the operation delay overhead is not that crucial. A 200µm wide PMOS power switch with 30Ω conducting resistance is used to connect the memory module to a 1V operation supply voltage. With the same switch the memory wake up time is simulated to be within 10ns, which is typically a small fraction of the target application system cycle time. The wake up power penalty incurred during switching from the standby mode to the full-VDD mode determines the minimum standby time for the scheme if net power saving over one standby period are to be acheieved. This break-even time is an important system-design parameter, as it helps the power control algorithm to decide when a power-down would be beneficial. With the parasitic capacitance information attained from process model, the minimum standby time in this design is estimated to be around tens of microseconds, which is much shorter than the typical system idle time in a battery-supported system. 3.2. Test chip implementation Layout of the 0.13µm SRAM test chip is shown in Fig. 5. The two main components are a 4KB SRAM module and a Switch Capacitor (SC) converter. This memory is an IP module with no modifications from its original design. As shown in Fig. 6, a representative five-stage step down dc-dc switch capacitor topology is selected to implement the on- chip standby VDD generator [8]. Compared to magnetic-based voltage regulators, SC converter provides higher efficiency, smaller output current ripple, and easier on-chip integration for small loads in the microwatt range. The design challenge VDD 4k Bytes SRAM Stby 5:1 SC Conv Figure 4. Standby leakage suppression scheme.
  • 4. here resides in handling small output load in the range of 10~20µW. With such low power operation, power loss in- curred by short-circuit currents during phase switching be- comes comparable to output power and forms a significant portion of total power loss. To maximize power efficiency, it is desirable to minimize both the switching voltage drop and short-circuit current, which have opposite dependence on device sizes. Hence the size and type selection of the switch devices (i.e., NMOS vs. PMOS) are carefully tuned to bal- ance these two requirements. Fig. 6 shows the optimized design, in which an 85% conversion efficiency is achieved with a 1V input and an output load of estimated SRAM module leakage at standby mode. 4. Measurement results 4.1. DRV measurement The DRV is measured by monitoring the data retention capability of an SRAM cell with different values of standby VDD, as demonstrated in Fig. 7. With VDD switching between active and standby modes, a specific state is written into the SRAM cell under test at the end of each active period (t2), and then read out at the beginning of the next active period (t1). Preservation of the assigned logic state is observed when standby VDD is higher than DRV, while the state is lost when standby VDD is below DRV. The DRV was measured for 50 SRAM cells. Figure 8 shows the distribution of the total measurement results. The DRV values range from 80mV to 250mV with the mean value of 170mV at an approximately normal distribution. Such a wide range of DRV uncertainty reflects the existence of considerable process variations during fabrication. The 78 mV ideal DRV, assuming perfect process matching as ob- tained by simulation and analytical modeling, shows up as the lower bound of the measured DRV distribution. With mismatches included, the measured mean value of 170mV matches the analytical model with 3σ variations in Vth and channel length. Moreover, temperature dependency of DRV was investigated with measurement. When the test chip was heated up to 100°C, DRV was obtained as 183mV, which verifies the calculation of 181mV by Eq. (13). As evaluated in Sec. 2, our analytical DRV model not only predicts the ideal DRV values, but also fully captures the impact of proc- ess and temperature variations. Thus, it can serve as a con- venient base for further design optimizations. 4.2. SRAM leakage measurement Leakage measurement result of the 4KB SRAM is shown in Fig. 9. It increases exponentially when VDD is high. Simi- lar as observed in the DRV measurement, this phenomenon reflects the impact of process variations on SRAM leakage, more specifically the fluctuations in channel length and Vth. For short channel transistors, drain-induced-barrier-lowering (DIBL) effect further causes severe Vth degradation, resulting in even higher leakage in high-VDD conditions. Furthermore, the shaded area in Fig. 9 indicates the range of measured DRV (80-250mV). While the memory states can be pre- served at sub-300mV VDD, adding an extra guard band of 100mV to the standby VDD enhances the noise robustness of Figure 5. A 0.13µm SRAM leakage-control test chip. C C C C C Rmem 1V C Rmem CCCC Clk Equalizing phase Charging phase Clk Clk Clk Clk (b) Operation phases (a) SC Conv design 0.66 Rmem 0.66 0.50 0.50 0.50 0.240.24 0.35 0.350.24 0.350.24 0.35 10 pF 10 pF10 pF 10 pF 10 pF (numbers indicate transistor w idth in microns) Figure 6. (a) Schematic of switch capacitor converter, (b) Operation phases. 100 150 200 250 0 5 10 15 DRV (mV) HistogramDataPoints Figure 8. Distribution of measured DRV data. SRAM supply End of standby t1 Data output (a) (b) t1 t2t2 State “1” restored End of standby State “0” restored SRAM supply End of standby t1 Data output (a) (b) t1 t2t2 State “1” restored End of standby State “0” restored Figure 7. Waveform of DRV measurement. (a) DRV = 190mV in SRAM cell 1 with state “1”, (b) DRV = 180mV in SRAM cell 2 with state “0”.
  • 5. state preservation as analyzed in section 3.1.1. With the re- sulting 350mV standby VDD, SRAM leakage current can still be reduced by over 80%. Subsequently the leakage power, as the product of VDD and leakage current, is efficiently reduced by more than 90%. 4.3. Dual rail standby scheme measurement The dual rail scheme is shown to be fully functional through the DRV measurements. With 10MHz switch con- trol signal, the SC converter generates the standby VDD with less than 20mV peak-to-peak ripple. Wake up time of 10ns is observed during mode transition, while the sleep time spans around 10µs. Delay overhead during active operation is measured to be about 2X, which is reasonable for an ultra low-power application where the system clock period is typi- cally 10 times the operation cycle of a low leakage SRAM. 5. SRAM DRV minimization: Analysis While SRAM designs have been well optimized for speed and power metrics, improving DRV for future ultra low-voltage applications poses a new challenge for low- power SRAM designers. Results from previous sections have illustrated the importance of process variations, chip tem- perature, and transistor sizing on DRV. A thorough under- standing of their impacts on DRV and other performance metrics provides insight into SRAM cell design for ultra low-voltage and ultra low-power designs. 5.1. Process variations and temperature impacts Process variation and temperature fluctuation are the main imperfections in a real environment that cause degrada- tions in circuit performance. Figure 10 shows the simulated effect of these two parameters on SRAM DRV. Data shows that process variations play a critical role in determining DRV, which increases by 100mV in the presence of 3σ mis- matches in Vth and channel length (L). On the other hand, temperature affects DRV in a less severe manner. When T changes from 27°C to 100°C, DRV rises about 13mV, which was verified by test chip measurement. The difference in process variation and T effects on DRV is because fluctua- tion in T affects all transistors in an SRAM cell uniformly, while local process variations may change the drive strength ratio between transistors, resulting in an exacerbated sub-Vth VTC mismatch and thus, a substantial DRV increment. Both effects are well captured by the analytical models in Sec. 2. As observed from measurement and simulation results in previous sections, minimizing process variation is the most effective method to reduce DRV. While process variation control becomes more difficult in future technology nodes, it will define an effective lower bound on SRAM VDD. Another way to improve data preservation under low VDD is to ensure low temperature operation (e.g., at room temperature), which is easier for ultra low-power applications. 5.2. Sizing optimization While sizing has long been an effective tool in conven- tional power and speed optimization, taking DRV into ac- count further improves future ultra low-power SRAM de- signs. This section presents DRV-aware SRAM cell optimi- zation analysis based on transistor sizing. Here, leakage power is considered as the power metric since this analysis targets ultra low-power design. 5.2.1. Read delay and area cost models. To facilitate analytical SRAM cell optimization, delay and area models as a function of transistor sizing are developed. In this model, the SRAM access delay is considered as the time required to pull down the bit-line voltage by 15% of VDD. Starting from a simple hand-calculation model, a curve-fitting approach is used to develop a more accurate and scalable delay model as given by analytical derivation:       −⋅−⋅+⋅⋅ ⋅++⋅ = 2 1 )( 223 0 NWNWWread WBLBLW read SSCSSBSAK SKCSK t , (16) In this model SW, SN represent the (W/L) ratio of the write access transistor and the NMOS pull down transistor, respectively, while parameters K, Kread, A, B, and C are fit- ting parameters. They are related, but not equal to the fol- lowing physical parameters: DD DSATnread V VkK 2 ' 2 ⋅⋅= , DSAT thWDD V VV A − = , (17.a) )(2 thNDD DSAT VV V B − = , DSATDD DSATthWDD VV VVV C − −− = 2 . (17.b) Fitting parameters CBL0 and KBL define a relationship be- tween the bit-line capacitance CBL and the W/L ratio SW of access transistors. The delay model fits simulated results as shown in Fig. 11. Due to a high layout density of an SRAM, the SRAM cell area is simply modeled as a linear function of the total transistor area. 5.2.2. DRV minimization. In conventional performance- optimized SRAM cell design, the pull-down NMOS devices 0 0.2 0.4 0.6 0.8 1 0 10 20 30 40 50 60 Supply Voltage (V) 4KBSRAMLeakageCurrent(µA) Measured DRV range Figure 9. Measured SRAM leakage current. 0 1 2 3 4 5 6 100 150 200 250 300 Process variation (σ) DRV(mV) T = 100 o C T = 27 o C ~100mV due to process variation (3σ) ~13mV due to temperature fluctuation Figure 10. DRV under Process and T variations.
  • 6. are sized substantially larger than the PMOS devices. This imbalance in the pull-up and pull-down paths leads to exac- erbated VTC deterioration at low VDD, and degrades DRV. It can be observed from Fig. 3 that by either decreasing the NMOS size or increasing the PMOS size DRV can be effec- tively reduced due to better balance in VTC. Further taking delay and area costs into account, NMOS tuning proves to be more desirable. This is because smaller NMOS improves both DRV and area at certain delay penalty, while larger PMOS only improves DRV and pays large area cost, which is expensive in memory design. SRAM cell optimization tradeoffs are shown in Fig. 12, where the marked nominal case is a commercial perform- ance-optimized cell design. Fig. 12a plots the lower bound on DRV for both 3σ process variation (DRV0 = 170mV) and perfect matching (DRV0 = 78mV) versus NMOS size. In both cases DRV can be reduced by 20~30mV at some delay penalty. Tradeoffs between delay, leakage power and area are illustrated in Fig. 12b. It can be observed that around the nominal design point, area is best traded off for performance. However, leakage power is then best traded for performance at delay of about 20% higher than the nominal point, with area reduced at the same time. Therefore, reducing size of the cell both lowers the area and the leakage power at the expense of increased delay. At a 30% delay increase, a 50% leakage power reduction can be achieved. Furthermore, since Ileak is relatively insensitive to VDD in the range around DRV as compared to high-VDD condition (see Fig. 10), there is only slight difference in leakage power for the cases with no process variation and 3σ variation. 6. Conclusions and future work This paper explores the limit of SRAM data preservation under ultra-low standby VDD. An analytical model of the SRAM DRV is developed and verified with measurement results. A commercial SRAM module with high-Vth process is shown to be capable of sub-300mV standby data preserva- tion. Under this low standby VDD, leakage power saving of more than 90% can be achieved with a dual-rail standby scheme designed for ultra low-power applications. The DRV is observed to be a strong function of process variation and SRAM cell sizing, while the design tradeoffs between read delay, area and leakage power can be optimized. While existing approaches generally involve significant tradeoffs with other performance metrics or technology lim- its, more opportunities exist on architectural level innova- tions. As an example, more SRAM leakage savings can be achieved with assistance from error tolerant schemes when the standby supply voltage is scaled down beyond the limit of DRV. Future work will be focused on exploiting design techniques to achieve even lower power and higher reliabil- ity in memory design. Acknowledgements The sponsorship of the GSRC MARCO center and fabri- cation support from ST Microelectronics are greatly ac- knowledged. The authors would also like to thank to Profes- sor Seth Sanders and Dr. Bhusan Gupta for their enlighten- ing technical advice. References [1] J. Rabaey et al, “PicoRadios for Wireless Sensor Networks: The Next Challenge in Ultra-Low-Power Design,” Proc. of ISSCC, pp. 200-201, Feb 2002. [2] K. Itoh, “Low Voltage Memories for Power-Aware Systems,” Proc. ISLPED, pp. 1-6, Aug. 2002. [3] S. Kaxiras, Z. Hu, and M. Martonosi, “Cache decay: Exploiting generational behavior to reduce cache leakage power,” Proc. of ISCA, pp. 240-251, Jun-Jul 2001. [4] K. Flautner et al, “Drowsy caches: simple techniques for reduc- ing leakage power,” Proc. of ISCA, pp. 148-157, May 2002. [5] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective, 2nd edition, Prentice-Hall 2002. [6] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells”, IEEE J. Solid-State Circuits, vol. SC-22, No. 5, pp. 748-754, Oct. 1987. [7] C. Lage et al., “Soft error rate and stored charge requirements in advanced high-density SRAMs,” Proc. of IEDM, pp. 821-824, Dec.1993. [8] K.D.T. Ngo and R. Webster, “Steady-state analysis and design of a switched-capacitor DC-DC converter,” Proc. of PESC, pp. 378-385, Jun-Jul 1992. 0 1 2 3 4 0.5 1 1.5 Width factor SW of access transistors tread (ns) SN =2.48 SN =2.12 SN =1.77 SN =1.42 SN =1.06 Simulation Model Figure 11. Read delay model fitting at VDD = 1V. 0 1 2 3 4 0 50 100 150 200 SN / SN nom DRV(mV) DRV0 =170mV DRV0 =78mV (a) (3σ variation) (no variaiton) 0 0.5 1 1.5 2 2.5 0 0.5 1 1.5 2 tread / tread nom pLk /pLk nom ,Area/Area nom Cell Area DRV0 =170mV DRV0 =78mV pLk (b) Figure 12. SRAM cell design tradeoffs: (a) DRV vs. NMOS transistor size, (b) Leakage power and area vs. read delay.