SlideShare a Scribd company logo
1 of 30
                   Electronic Design Automation                                          &                        The Concept behind VHDL Prof. Anish Goel
Contents Milestones for IC Industry Abstraction levels of VLSI design Digital System Design Application Specific Integrated Circuits (ASIC’s) Function Implementation using PLA Electronic Design Automation Hardware Description Language (VHDL) Simulation & Synthesis Basics of CPLD and FPGA 2 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry 1947: Bardeen, Brattain & Shockly invented the transistor, foundation of the IC industry. 1952: SONY introduced the first transistor-based radio. 1958: Kilby invented integrated circuits (ICs). 1965: Moore’s law. 1968: Noyce and Moore founded Intel. 1970: Intel introduced 1 K DRAM. 3 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry 1971: Intel announced 4-bit 4004 microprocessors (2250 transistors). 1976/81: Apple II/IBM PC. 1984: Xilinx invented FPGA’s. 1985: Intel began focusing on microprocessor products. 1987: TSMC was founded (fabless IC design). 1991: ARM introduced its first embeddable RISC IP core (chipless IC design). 4 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry (Cont’d) 1996: Samsung introduced IG DRAM. 1998: IBM announces1GHz experimental microprocessor. 1999/earlier: System-on-Chip (SOC) applications. 2002/earlier: System-in-Package (SIP) technology. An Intel P4 processor contains 42 million transistors (1 billion by 2005) Today, we produce ~ 1 billion transistors per person Semiconductor/IC: #1 key field for advancing into 2000 (Business Week, Jan. 1995). 5 Electronic Design Automation                                                     Anish Goel
From Wafer to Chip 6 Electronic Design Automation                                                     Anish Goel
Abstraction levels of VLSI design 7 Electronic Design Automation                                                     Anish Goel
Digital System Design Several conflicting considerations: Design Complexity: large number of devices/transistors Performance: optimization requirements for high performance Time-to-market: about a 15% gain for early birds Cost: die area, packaging, testing, etc. Others: power, signal integrity (noise, etc), testability,    reliability, manufacturability, etc. 8 Electronic Design Automation                                                     Anish Goel
What is an ASIC ?  What is an Integrated Circuit (IC)?  ICs are basically “chips” 	 Silicon Wafers 	Transistors, resistors, capacitors fabricated  Can be either Digital or Analog  Microprocessors, Amplifier, Memory ASICs are Application Specific ICs  Designed for a special application  ASICs may be customized or mass produced  Digital to Audio Converter  Mpeg2 Decoder 9 Electronic Design Automation                                                     Anish Goel
SSI/SPLD Design Style 10 Electronic Design Automation                                                     Anish Goel
Let’s Start from the Basics… Programmable Logic Arrays (PLA) A PLA is a general circuit that can be programmed to implement any Boolean function. This is based on the fact that a Boolean function can be expressed in a sum of product (SOP) form Example: A 3 input PLA 11 Electronic Design Automation                                                     Anish Goel
3 Input PLA… Inputs OR array AND array Outputs Implement  f1 = A.B + A.C + B.C And  f2 = A. B.C Using the given PLA circuit  12 Electronic Design Automation                                                     Anish Goel
Function Implementation using PLA.. Inputs OR array AND array Outputs A B C f1 f2 13 Electronic Design Automation                                                     Anish Goel
Abstraction Abstraction: when looking at a certain level, you don’t need to know all details of the lower levels. Design domains: Behavioral: black box view Structural: interconnection of sub-blocks Physical: layout properties Each design domain has its own hierarchy. 14 Electronic Design Automation                                                     Anish Goel
Electronic Design Automation (EDA) Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation (EDA) (Longer Definition) Electronic design in which the design is entered using design capture tools or using a text editor and a hardware description language possibly consisting of “parts” from a vendor's library 15 Electronic Design Automation                                                     Anish Goel
Hardware Description Language VHDL (VHSIC Hardware Description Language) Widely used in industry. Ada-like syntax. (Ada is a DoD-developed language for large embedded systems.) Developed as part of U.S. Department of Defense (DoD) VHSIC program in 1983 Became IEEE standard 1076 in 1987. Standard updated in 1993. 16 Electronic Design Automation                                                     Anish Goel
Synthesis Design Target 	Design Target: The type of device to be manufactured or programmed. Synthesis programs generate output for a particular design target. Design Targets Programmable Logic Array (PLA): Chip that can be programmed (once) to implement a logic function. Usually programmed at the factory. PLAs might be used in prototypes or when only a few parts are needed. Application-Specific Integrated Circuit (ASIC): A fully custom chip. Usually the fastest design target, can have the most components. 17 Electronic Design Automation                                                     Anish Goel
Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design concepts simply because the design entry method you have chosen is not supported in a newer generation of design tools. Takes advantage of the most up-to-date design tools, and will have access to a knowledge-based of thousands of other engineers, many of who are solving problems similar to your own ( Model availability). Tool interoperability Design Documentation 18 Electronic Design Automation                                                     Anish Goel
Designing in VHDL 19 Electronic Design Automation                                                     Anish Goel
How to design a complex chip using VHDL… 20 Complex like: A microcontroller (maybe similar to 8051) Peripheral device (maybe similar to 8255 or 8251) Video encoder MP3 player Decide and design the architecture on paper. Implement the blocks of the chip using VHDL. Interconnect these blocks to form the complete architecture. This is called structural style of modeling. Electronic Design Automation                                                     Anish Goel
Blocks? Architecture? 21 Electronic Design Automation                                                     Anish Goel
Simple example.  22 Design and implement a 4:16 decoder using VHDL. VHDL code for 4:16 decoder. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder4to16 is 	Port ( D : in std_logic_vector(3 downto 0);  E : out std_logic_vector(15 downto 0);       F : in std_logic); end decoder4to16; ...... …….. ………. Electronic Design Automation                                                     Anish Goel
Simulation and Synthesis. 23 Verify the functionality of the designed circuit using software. (Simulation) ModelSim Max Plus –II Implement the functionality into a CPLD and FPGA and use it into your circuit or application. Xilinx ISE Quartus You can even purchase a processor that will be sent to you by email.  What ?? E-mail ?? Altera NIOS-2 Electronic Design Automation                                                     Anish Goel
VHDL for Simulation Purely behavioral Architectural: bus widths, number of processors Off-the-shelf components Test benches 24 Electronic Design Automation                                                     Anish Goel
VHDL for Synthesis RTL description => Synthesis Compiler => Gates (LSI, TI) Simulate RTL description for functionality Simulate gates for timing and to check synthesis Target a synthesis tool from the start Logic model, used to simulate ASIC in any environment 25 Electronic Design Automation                                                     Anish Goel
CPLD and FPGA… 26 PLA and PAL’s. PLD’s. SPLD’s. CPLD				FPGA. Electronic Design Automation                                                     Anish Goel
Complex Programmable Logic Device 27 CPLD architecture Small number of large PLDs on a single chip Programmable interconnect between PLDs Electronic Design Automation                                                     Anish Goel
Field Programmable Gate Array 28 FPGA architecture Much larger number of smaller programmable logic blocks. Embedded in a sea of lots and lots of programmable interconnects. Electronic Design Automation                                                     Anish Goel
System on chip 29 FPGA technology allows you to embed a processor, ROM, RAM, DSP, and any other block onto a single chip This is replacing a lot of Application Specific Integrated Circuit chips This has major advantages for electronics companies in terms of cost, reliability, reusability of intellectual property, and time to market Electronic Design Automation                                                     Anish Goel
Thank you !!! But wait… 30 This presentation is open source…. Log on to my website: www.discovermechatronics.blogspot.com You can view/download this presentation. You can brows my projects on this website. Electronic Design Automation                                                     Anish Goel

More Related Content

What's hot (20)

Vlsi
VlsiVlsi
Vlsi
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Vlsi design notes
Vlsi design notesVlsi design notes
Vlsi design notes
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...
 
Asic design
Asic designAsic design
Asic design
 
Cpld fpga
Cpld fpgaCpld fpga
Cpld fpga
 
Logic synthesis using Verilog HDL
Logic synthesis using Verilog HDLLogic synthesis using Verilog HDL
Logic synthesis using Verilog HDL
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Vlsi ppt priyanka
Vlsi ppt priyankaVlsi ppt priyanka
Vlsi ppt priyanka
 
Electronic Design Automation
Electronic Design AutomationElectronic Design Automation
Electronic Design Automation
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 

Viewers also liked

Asic backend design
Asic backend designAsic backend design
Asic backend designkbipeen
 
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10Amazon Web Services
 
PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING prasanna kumar
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilernaeemtayyab
 
AutoCad Electrical
AutoCad ElectricalAutoCad Electrical
AutoCad Electricalrahul_9463
 
my ppt for autocad &autocad electrical
my ppt for autocad &autocad electricalmy ppt for autocad &autocad electrical
my ppt for autocad &autocad electricalh soundarya
 
Computer Aided Design Powerpoint Project
Computer Aided Design Powerpoint ProjectComputer Aided Design Powerpoint Project
Computer Aided Design Powerpoint Projectcarolinabonill
 

Viewers also liked (11)

Vlsi design
Vlsi designVlsi design
Vlsi design
 
Free / Open Source EDA Tools
Free / Open Source EDA ToolsFree / Open Source EDA Tools
Free / Open Source EDA Tools
 
Pdms ppt
Pdms pptPdms ppt
Pdms ppt
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compiler
 
AutoCad Electrical
AutoCad ElectricalAutoCad Electrical
AutoCad Electrical
 
my ppt for autocad &autocad electrical
my ppt for autocad &autocad electricalmy ppt for autocad &autocad electrical
my ppt for autocad &autocad electrical
 
Computer Aided Design Powerpoint Project
Computer Aided Design Powerpoint ProjectComputer Aided Design Powerpoint Project
Computer Aided Design Powerpoint Project
 

Similar to EDA

Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationAmber Bhaumik
 
System On Chip
System On ChipSystem On Chip
System On Chipanishgoel
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) ijceronline
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionPersiPersi1
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC ProcessorLloydMoore
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics779061702
 
Introduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseIntroduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseanishgoel
 
0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introductionMaurizio Donna
 
Developing an avr microcontroller system
Developing an avr microcontroller systemDeveloping an avr microcontroller system
Developing an avr microcontroller systemnugnugmacmac
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11chitlesh
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Overview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer KitOverview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer KitIntel® Software
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfIsmailkhan77481
 

Similar to EDA (20)

Embedded system
Embedded systemEmbedded system
Embedded system
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
K vector embedded_linux_workshop
K vector embedded_linux_workshopK vector embedded_linux_workshop
K vector embedded_linux_workshop
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC Processor
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics
 
Introduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseIntroduction to Advanced embedded systems course
Introduction to Advanced embedded systems course
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
 
0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction
 
Developing an avr microcontroller system
Developing an avr microcontroller systemDeveloping an avr microcontroller system
Developing an avr microcontroller system
 
FPGA @ UPB-BGA
FPGA @ UPB-BGAFPGA @ UPB-BGA
FPGA @ UPB-BGA
 
microprocessor
   microprocessor   microprocessor
microprocessor
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Michael Vogwell
Michael VogwellMichael Vogwell
Michael Vogwell
 
Overview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer KitOverview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer Kit
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
 
4_BIT_ALU
4_BIT_ALU4_BIT_ALU
4_BIT_ALU
 

More from anishgoel

Computer Organization
Computer OrganizationComputer Organization
Computer Organizationanishgoel
 
Learning vhdl by examples
Learning vhdl by examplesLearning vhdl by examples
Learning vhdl by examplesanishgoel
 
Dot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry PiDot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry Pianishgoel
 
Input interface with Raspberry pi
Input interface with Raspberry piInput interface with Raspberry pi
Input interface with Raspberry pianishgoel
 
Learning Python for Raspberry Pi
Learning Python for Raspberry PiLearning Python for Raspberry Pi
Learning Python for Raspberry Pianishgoel
 
Raspberry Pi
Raspberry PiRaspberry Pi
Raspberry Pianishgoel
 
learning vhdl by examples
learning vhdl by exampleslearning vhdl by examples
learning vhdl by examplesanishgoel
 
Digital System Design Basics
Digital System Design BasicsDigital System Design Basics
Digital System Design Basicsanishgoel
 
digital design of communication systems
digital design of communication systemsdigital design of communication systems
digital design of communication systemsanishgoel
 
Rtos concepts
Rtos conceptsRtos concepts
Rtos conceptsanishgoel
 
8051 Microcontroller Timer
8051 Microcontroller Timer8051 Microcontroller Timer
8051 Microcontroller Timeranishgoel
 
8051 Microcontroller I/O ports
8051 Microcontroller I/O ports8051 Microcontroller I/O ports
8051 Microcontroller I/O portsanishgoel
 
Serial Communication Interfaces
Serial Communication InterfacesSerial Communication Interfaces
Serial Communication Interfacesanishgoel
 
Embedded systems ppt iv part d
Embedded systems ppt iv   part dEmbedded systems ppt iv   part d
Embedded systems ppt iv part danishgoel
 
Embedded systems ppt iv part c
Embedded systems ppt iv   part cEmbedded systems ppt iv   part c
Embedded systems ppt iv part canishgoel
 
Embedded systems ppt iv part b
Embedded systems ppt iv   part bEmbedded systems ppt iv   part b
Embedded systems ppt iv part banishgoel
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt iianishgoel
 
Embedded systems ppt iii
Embedded systems ppt iiiEmbedded systems ppt iii
Embedded systems ppt iiianishgoel
 
Embedded systems ppt iv part a
Embedded systems ppt iv   part aEmbedded systems ppt iv   part a
Embedded systems ppt iv part aanishgoel
 
Embedded systems ppt i
Embedded systems ppt iEmbedded systems ppt i
Embedded systems ppt ianishgoel
 

More from anishgoel (20)

Computer Organization
Computer OrganizationComputer Organization
Computer Organization
 
Learning vhdl by examples
Learning vhdl by examplesLearning vhdl by examples
Learning vhdl by examples
 
Dot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry PiDot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry Pi
 
Input interface with Raspberry pi
Input interface with Raspberry piInput interface with Raspberry pi
Input interface with Raspberry pi
 
Learning Python for Raspberry Pi
Learning Python for Raspberry PiLearning Python for Raspberry Pi
Learning Python for Raspberry Pi
 
Raspberry Pi
Raspberry PiRaspberry Pi
Raspberry Pi
 
learning vhdl by examples
learning vhdl by exampleslearning vhdl by examples
learning vhdl by examples
 
Digital System Design Basics
Digital System Design BasicsDigital System Design Basics
Digital System Design Basics
 
digital design of communication systems
digital design of communication systemsdigital design of communication systems
digital design of communication systems
 
Rtos concepts
Rtos conceptsRtos concepts
Rtos concepts
 
8051 Microcontroller Timer
8051 Microcontroller Timer8051 Microcontroller Timer
8051 Microcontroller Timer
 
8051 Microcontroller I/O ports
8051 Microcontroller I/O ports8051 Microcontroller I/O ports
8051 Microcontroller I/O ports
 
Serial Communication Interfaces
Serial Communication InterfacesSerial Communication Interfaces
Serial Communication Interfaces
 
Embedded systems ppt iv part d
Embedded systems ppt iv   part dEmbedded systems ppt iv   part d
Embedded systems ppt iv part d
 
Embedded systems ppt iv part c
Embedded systems ppt iv   part cEmbedded systems ppt iv   part c
Embedded systems ppt iv part c
 
Embedded systems ppt iv part b
Embedded systems ppt iv   part bEmbedded systems ppt iv   part b
Embedded systems ppt iv part b
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt ii
 
Embedded systems ppt iii
Embedded systems ppt iiiEmbedded systems ppt iii
Embedded systems ppt iii
 
Embedded systems ppt iv part a
Embedded systems ppt iv   part aEmbedded systems ppt iv   part a
Embedded systems ppt iv part a
 
Embedded systems ppt i
Embedded systems ppt iEmbedded systems ppt i
Embedded systems ppt i
 

Recently uploaded

Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the ClassroomPooky Knightsmith
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...ZurliaSoop
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structuredhanjurrannsibayan2
 
ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701bronxfugly43
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.christianmathematics
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfSherif Taha
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...Poonam Aher Patil
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxDenish Jangid
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxcallscotland1987
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin ClassesCeline George
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdfVishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdfssuserdda66b
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibitjbellavia9
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxDr. Sarita Anand
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSCeline George
 

Recently uploaded (20)

Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structure
 
ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701ComPTIA Overview | Comptia Security+ Book SY0-701
ComPTIA Overview | Comptia Security+ Book SY0-701
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptx
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdfVishram Singh - Textbook of Anatomy  Upper Limb and Thorax.. Volume 1 (1).pdf
Vishram Singh - Textbook of Anatomy Upper Limb and Thorax.. Volume 1 (1).pdf
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 

EDA

  • 1. Electronic Design Automation & The Concept behind VHDL Prof. Anish Goel
  • 2. Contents Milestones for IC Industry Abstraction levels of VLSI design Digital System Design Application Specific Integrated Circuits (ASIC’s) Function Implementation using PLA Electronic Design Automation Hardware Description Language (VHDL) Simulation & Synthesis Basics of CPLD and FPGA 2 Electronic Design Automation Anish Goel
  • 3. Milestones for IC Industry 1947: Bardeen, Brattain & Shockly invented the transistor, foundation of the IC industry. 1952: SONY introduced the first transistor-based radio. 1958: Kilby invented integrated circuits (ICs). 1965: Moore’s law. 1968: Noyce and Moore founded Intel. 1970: Intel introduced 1 K DRAM. 3 Electronic Design Automation Anish Goel
  • 4. Milestones for IC Industry 1971: Intel announced 4-bit 4004 microprocessors (2250 transistors). 1976/81: Apple II/IBM PC. 1984: Xilinx invented FPGA’s. 1985: Intel began focusing on microprocessor products. 1987: TSMC was founded (fabless IC design). 1991: ARM introduced its first embeddable RISC IP core (chipless IC design). 4 Electronic Design Automation Anish Goel
  • 5. Milestones for IC Industry (Cont’d) 1996: Samsung introduced IG DRAM. 1998: IBM announces1GHz experimental microprocessor. 1999/earlier: System-on-Chip (SOC) applications. 2002/earlier: System-in-Package (SIP) technology. An Intel P4 processor contains 42 million transistors (1 billion by 2005) Today, we produce ~ 1 billion transistors per person Semiconductor/IC: #1 key field for advancing into 2000 (Business Week, Jan. 1995). 5 Electronic Design Automation Anish Goel
  • 6. From Wafer to Chip 6 Electronic Design Automation Anish Goel
  • 7. Abstraction levels of VLSI design 7 Electronic Design Automation Anish Goel
  • 8. Digital System Design Several conflicting considerations: Design Complexity: large number of devices/transistors Performance: optimization requirements for high performance Time-to-market: about a 15% gain for early birds Cost: die area, packaging, testing, etc. Others: power, signal integrity (noise, etc), testability, reliability, manufacturability, etc. 8 Electronic Design Automation Anish Goel
  • 9. What is an ASIC ? What is an Integrated Circuit (IC)? ICs are basically “chips” Silicon Wafers Transistors, resistors, capacitors fabricated Can be either Digital or Analog Microprocessors, Amplifier, Memory ASICs are Application Specific ICs Designed for a special application ASICs may be customized or mass produced Digital to Audio Converter Mpeg2 Decoder 9 Electronic Design Automation Anish Goel
  • 10. SSI/SPLD Design Style 10 Electronic Design Automation Anish Goel
  • 11. Let’s Start from the Basics… Programmable Logic Arrays (PLA) A PLA is a general circuit that can be programmed to implement any Boolean function. This is based on the fact that a Boolean function can be expressed in a sum of product (SOP) form Example: A 3 input PLA 11 Electronic Design Automation Anish Goel
  • 12. 3 Input PLA… Inputs OR array AND array Outputs Implement f1 = A.B + A.C + B.C And f2 = A. B.C Using the given PLA circuit 12 Electronic Design Automation Anish Goel
  • 13. Function Implementation using PLA.. Inputs OR array AND array Outputs A B C f1 f2 13 Electronic Design Automation Anish Goel
  • 14. Abstraction Abstraction: when looking at a certain level, you don’t need to know all details of the lower levels. Design domains: Behavioral: black box view Structural: interconnection of sub-blocks Physical: layout properties Each design domain has its own hierarchy. 14 Electronic Design Automation Anish Goel
  • 15. Electronic Design Automation (EDA) Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation (EDA) (Longer Definition) Electronic design in which the design is entered using design capture tools or using a text editor and a hardware description language possibly consisting of “parts” from a vendor's library 15 Electronic Design Automation Anish Goel
  • 16. Hardware Description Language VHDL (VHSIC Hardware Description Language) Widely used in industry. Ada-like syntax. (Ada is a DoD-developed language for large embedded systems.) Developed as part of U.S. Department of Defense (DoD) VHSIC program in 1983 Became IEEE standard 1076 in 1987. Standard updated in 1993. 16 Electronic Design Automation Anish Goel
  • 17. Synthesis Design Target Design Target: The type of device to be manufactured or programmed. Synthesis programs generate output for a particular design target. Design Targets Programmable Logic Array (PLA): Chip that can be programmed (once) to implement a logic function. Usually programmed at the factory. PLAs might be used in prototypes or when only a few parts are needed. Application-Specific Integrated Circuit (ASIC): A fully custom chip. Usually the fastest design target, can have the most components. 17 Electronic Design Automation Anish Goel
  • 18. Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design concepts simply because the design entry method you have chosen is not supported in a newer generation of design tools. Takes advantage of the most up-to-date design tools, and will have access to a knowledge-based of thousands of other engineers, many of who are solving problems similar to your own ( Model availability). Tool interoperability Design Documentation 18 Electronic Design Automation Anish Goel
  • 19. Designing in VHDL 19 Electronic Design Automation Anish Goel
  • 20. How to design a complex chip using VHDL… 20 Complex like: A microcontroller (maybe similar to 8051) Peripheral device (maybe similar to 8255 or 8251) Video encoder MP3 player Decide and design the architecture on paper. Implement the blocks of the chip using VHDL. Interconnect these blocks to form the complete architecture. This is called structural style of modeling. Electronic Design Automation Anish Goel
  • 21. Blocks? Architecture? 21 Electronic Design Automation Anish Goel
  • 22. Simple example. 22 Design and implement a 4:16 decoder using VHDL. VHDL code for 4:16 decoder. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder4to16 is Port ( D : in std_logic_vector(3 downto 0); E : out std_logic_vector(15 downto 0); F : in std_logic); end decoder4to16; ...... …….. ………. Electronic Design Automation Anish Goel
  • 23. Simulation and Synthesis. 23 Verify the functionality of the designed circuit using software. (Simulation) ModelSim Max Plus –II Implement the functionality into a CPLD and FPGA and use it into your circuit or application. Xilinx ISE Quartus You can even purchase a processor that will be sent to you by email. What ?? E-mail ?? Altera NIOS-2 Electronic Design Automation Anish Goel
  • 24. VHDL for Simulation Purely behavioral Architectural: bus widths, number of processors Off-the-shelf components Test benches 24 Electronic Design Automation Anish Goel
  • 25. VHDL for Synthesis RTL description => Synthesis Compiler => Gates (LSI, TI) Simulate RTL description for functionality Simulate gates for timing and to check synthesis Target a synthesis tool from the start Logic model, used to simulate ASIC in any environment 25 Electronic Design Automation Anish Goel
  • 26. CPLD and FPGA… 26 PLA and PAL’s. PLD’s. SPLD’s. CPLD FPGA. Electronic Design Automation Anish Goel
  • 27. Complex Programmable Logic Device 27 CPLD architecture Small number of large PLDs on a single chip Programmable interconnect between PLDs Electronic Design Automation Anish Goel
  • 28. Field Programmable Gate Array 28 FPGA architecture Much larger number of smaller programmable logic blocks. Embedded in a sea of lots and lots of programmable interconnects. Electronic Design Automation Anish Goel
  • 29. System on chip 29 FPGA technology allows you to embed a processor, ROM, RAM, DSP, and any other block onto a single chip This is replacing a lot of Application Specific Integrated Circuit chips This has major advantages for electronics companies in terms of cost, reliability, reusability of intellectual property, and time to market Electronic Design Automation Anish Goel
  • 30. Thank you !!! But wait… 30 This presentation is open source…. Log on to my website: www.discovermechatronics.blogspot.com You can view/download this presentation. You can brows my projects on this website. Electronic Design Automation Anish Goel