SlideShare a Scribd company logo
1 of 53
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 1
Circuits Design for Low Power
Kevin Nowka, IBM Austin Research Laboratory
EE-382M
VLSI–II
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 2
Agenda
Overview of VLSI power
Technology, Scaling, and Power
Review of scaling
A look at the real trends and projections for the future
Active power – components, trends, managing, estimating
Static power – components, trends, managing, estimating
Summary
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 3
A quick look at the power consumption of a modern Laptop
(IBM R40)
Src: Mahesri et al., U of Illinois, 2004
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
CPU
Workload
26W
FTP Tx
17W
3D Games
30W
Other
LCD+BckLt
Wireless
Mem
Graphics
NB/SB; misc
CPU
Power is all about the (digital) VLSI circuits…..and the backlight!
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 4
A quick look at the power consumption of a Server
Source Bose, Hot Chips 2005,
cpu
pwr mem
i/o
Again, it’s a VLSI problem – but this time with analog!
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 5
Designing within limits: power & energy
• Thermal limits (for most parts self-heating is a substantial thermal
issue)
- package cost (4-5W limit for cheap plastic package, 50-100W/sq-cm air
cooled limit, 5k-7.5kW 19” rack)
- Device reliability (junction temp > 125C quickly reduces reliability)
- Performance (25C -> 105C loss of 30% of performance)
- Distribution limits
- Substantial portion of wiring resource, area for power dist.
- Higher current => lower R, greater dI/dt => more wire, decap
- Package capable of low impedance distribution
- Energy capacity limits
- AA battery ~1000mA.hr => limits power, function, or lifetime
- Energy cost
- Energy for IT equipment large fraction of total cost of ownership
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 6
Agenda
Overview of VLSI power
Technology, Scaling, and Power
Review of scaling
A look at the real trends and projections for the future
Active power – components, trends, managing, estimating
Static power – components, trends, managing, estimating
Summary
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 7
CMOS circuit power consumption components
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• Dynamic power consumption ( ½ CswVdd ∆V f + IstVdd)
– Load switching (including parasitic & interconnect)
– Glitching
– Shoot through power (IstVdd)
• Static power consumption (IstaticVdd)
– Current sources – bias currents
– Current dependent logic -- NMOS, pseudo-NMOS, CML
– Junction currents
– Subthreshold MOS currents
– Gate tunneling
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 8
Review of Constant Field Scaling
Parameter Value Scaled
Value
Dimensions L, W,
Tox
ÎąL,
ÎąW,
ÎąTox
Dopant
concentrations
Na,
Nd
Na/Îą,
Nd/Îą
Voltage V ÎąV
Field Ε Ε
Capacitance C ÎąC
Current I ÎąI
Propagation
time (~CV/I)
t Îąt
Power (VI) P Îą2
P
Density d d/Îą2
Power density P/A P/A
These are
distributions…
how do the σ s
scale?
n+STI STI
p
n+
Transistor
Isolation
n+STI STI
p
n+
Transistor
Source
Transistor
Gate
Transistor
Drain
Conventional Silicon Substrate
Electron Flow
Electron Flow
All Features Reduce in Width and
Thickness
Shorter Distance for Electron Flow
Produce Faster Transistors
Scale factor Îą<1
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 9
Agenda
Overview of VLSI power
Technology, Scaling, and Power
Review of scaling
A look at the real trends and projections for the future
Active power – components, trends, managing, estimating
Static power – components, trends, managing, estimating
Summary
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 10
CMOS Circuit Delay and Frequency
Td = kCV/I
= kCV/(Vdd-Vt)Îą
VLSI system frequency determined by:
Sum of propagation delays across gates in “critical path” --
Each gate delay, includes time to charge/discharge
load thru one or more FETs and interconnect delay
to distribute the signal to next gate input.
Sakuri Îą-power law model of delay
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 11
Gate Delay Trends
Td = kCV/I
= kCV/(Vdd-Vt)Îą
Each technology generation,
gate delay reduced about 30%
(src: ITRS ’05)
Consistent with
C.F. Scaling
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 12
Microprocessor Frequency
In practice the trend is:
Frequency increasing by 2X (delay decreasing by 50%),
not the 1.4X (30%) for constant field scaling for 1um to 65nm
node (src: ITRS ’01).
Why? decreasing logic/stage and increased pipeline depth.
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1
technology
0
10
20
30
40
50
60
70
80
90
Fo4/cycle
0
5
10
15
20
25
30
35
period(ns)
cycle in FO4
Period
Intel 32b (after Hrishikesh, et. al) * Below 65nm
node return to
1.4X/generation
[ITRS’05] Why?
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 13
Dynamic Energy
∍
∍∍
=
∞∞
=
==
==
Vdd
Vout
ddLoutddLVdd
out
dd
t
ddVdd
VCdVVCE
dt
dt
dV
CVdtVtiE LVdd
0
2
00
)(
Vout
∍
∍ ∍
=
∞
=
∞
==
==
Vdd
Vout
ddLoutoutL
out
t
out
LoutCL
VCdVVCEc
dtV
dt
dV
CdtVtiEc
0
2
0 0
2
1
)(
Energy dissipated for either output transition consumes:
½ CL Vdd
2
CL
iVdd
Gate level energy consumption should improve as
Îą3
under constant field scaling, but….
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 14
Supply Voltage Trend
With each generation, voltage has decreased 0.85x,
not 0.7x for constant field.
Thus, energy/device is decreasing by 50% rather than 65%
0
0.5
1
1.5
2
2.5
0.25m 0.18m 0.13m 90nm 65nm 45nm
Vdd (Volts)
Slow decline
to 0.7V in 22nm
(some think nothing
below 0.9V for HP uProcs)
P =½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 15
Active Power Trend
But, number of transistors has been increasing, thus
- a net increase in energy consumption,
- with freq 2x, active power is increasing by 50%
(src: ITRS ’01-’05)
20406080100120140160
Technology
100
150
200
250
300
Power(W)
Expected HP MP power
ITRS’01
ITRS’05 198 Watts forever!
P =½ CswVdd ∆V f + IstVdd + IstaticVdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 16
Recent (180nm – 65nm) “Real Scaling”
Parameter Value Scaled Value
Dimensions L, W,
Tox
0.7 L, 0.7 W,
0.7 Tox
Dopant
concentrations
Na, Nd 1.4 Na, 1.4
Nd
Voltage V 0.7 V
Performance F 1.4 F
Power/device P 0.5 P
Power/chip P 1 P
Power density P/A P/A
0.9 V
2.0 F
1.0 P
2.0 P/A
1.5 P
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 17
Future (65nm – 22nm) “Projected Scaling”
Parameter Value Scaled Value
Dimensions L, W,
Tox
0.7 L, 0.7 W,
0.7 Tox
Dopant
concentrations
Na, Nd 1.4 Na, 1.4
Nd
Voltage V 0.7 V
Performance F 1.4 F
Power/device P 0.5 P
Power/chip P 1 P
Power density P/A P/A
0.9 V
0.8 P
1.2 P/A
1.2 P 198 Watts
forever!?
How?
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 18
Active-Power Reduction Techniques
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
Active power can be reduced through:
− Capacitance minimization
− Power/Performance in sizing
− Clock-gating
− Glitch suppression
− Hardware-accelerators
− System-on-a-chip integration
− Voltage minimization
− (Dynamic) voltage-scaling
− Low swing signaling
− SOC/Accelerators
− Frequency minimization
− (Dynamic) frequency-scaling
− SOC/Accelerators
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 19
Capacitance minimization
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
Only the devices (device width) used in the design
consume active power!
− Runs counter to the complexity-for-IPC trend
− Runs counter to the SOC trend
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 20
Capacitance minimization
Example of managing design capacitance:
Device sizing for power efficiency is significantly different than
sizing for performance – eg. sizing of the gate size multiplier in an
exponential-horn of inverters for driving large loads.
0 2 4 6 8 10
Multiplier k
1
10
100
Metric
Energy
Delay
Energy.Delay
Energy.Delay^2
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 21
Functional Clock Gating
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• 25-50% of power consumption due to driving latches
(Bose, Martinozi, Brooks 2001 50%)
• Utilization of most latches is low (~10-35%)
• Gate off unused latches and associated logic:
– Unit level clock gating – turn off clocks to FPU,
MMX, Shifter, L/S unit, … at clk buffer or splitter
– Functional clock gating – turn off clocks to individual
latch banks – forwarding latch, shift-amount register,
overflow logic & latches, …qualify (AND) clock to latch
• Asynch is the most aggressive gating – but is it efficient?
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 22
Glitch suppression
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• Glitches can represent a sizeable portion of active
power, (up to 30% for some circuits in some studies)
• Three basic mechanisms for avoidance:
– Use non-glitching logic, e.g. domino
– Add redundant logic to avoid glitching hazards
• Increases cap, testability problems
– Adjust delays in the design to avoid
• Shouldn’t timing tools do this already if it is possible?
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 23
Voltage minimization
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• Lowering voltage swing, ∆V, lowers power
– Low swing logic efforts have not been very
successful (unless you consider array voltage
sensing)
– Low swing busses have been quite successful
• Lowering supply, Vdd and ∆V, (voltage scaling) is most
promising:
– Frequency ~V, Power ~V3
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 24
Avg Relative Ring Osc Delay/Power
0
0.5
1
1.5
2
2.5
3
3.5
4
4.5
5
0.7 0.95 1.2 1.45 1.7
Supply Voltage
0
0.2
0.4
0.6
0.8
1
1.2
a-pwr delay
meas delay
model pwr
meas pwr
Voltage Scaling Reduces Active Power
• Voltage Scaling Benefits
− Can be used widely over entire
chip
− Complementary CMOS scales well
over a wide voltage range => Can
optimize power/performance
(MIPS/mW) over a wide range
• Voltage Scaling Challenges
− Custom CPUs, Analog, PLLs, and
I/O drivers don’t voltage scale
easily
− Sensitivity to supply voltage
varies circuit to circuit – esp
SRAM, buffers, NAND4
− Thresholds tend to be too high at
low supply
After Carpenter, Microprocessor forum, ‘01
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 25
1 1.2 1.4 1.6 1.8 2
Supply Voltage (V)
0
100
200
300
400
500
Frequency(MHz)
0
100
200
300
400
500
Power(mW)
Measured Freq
Measured Power
Dynamic Voltage-Scaling (e.g. XScale, PPC405LP)
After Nowka,
et.al. ISSCC, Feb ‘02
PowerPC 405LP measurements: 18:1 power range over 4:1 frequency range
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 26
Frequency minimization
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• Lowering frequency lowers power linearly
– DOES NOT improve energy efficiency, just slows
down energy consumption
– Important for avoiding thermal problems
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 27
Voltage-Frequency-Scaling Measurements
PowerPC 405LP
Freq scale Âź freq, Âź pwr; DVS Âź freq, 1/10 pwr
Freq
Scaling
Plus DVS
Src: After Nowka,
et.al. JSSC, Nov ‘02
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 28
Shoot-through minimization
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• For most designs, shoot-thru represents 8-15% of
active power.
• Avoidance and minimization:
– Lower supply voltage
– Domino?
– Avoid slow input slews
– Careful of level-shifters in multiple voltage domain
designs
Both Pfet &
Nfet conducting
in
out
in out
Ist
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 29
Estimating Active Power Consumption
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• The problem is how to estimate capacitance switched
• Switch factor SF: ½ Csw = Σ SF Cnode
– Low level circuit analysis – spice analysis
– Higher level: spreadsheet/back-of-the-envelope/power
tools for estimation
• Aggregate or node-by-node estimation of switch factors –
1.0 ungated clocks, 0.5 signals which switch every cycle,
0.1-0.2 for processor logic
• These can be more accurately derived by tools which look at
pattern dependence and timing
• Node Capacitance – sum of all cap: output driver parasitic,
interconnect, load gate cap
i ii
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 30
Agenda
Overview of VLSI power
Technology, Scaling, and Power
Review of scaling
A look at the real trends and projections for the future
Active power – components, trends, managing, estimating
Static power – components, trends, managing, estimating
Summary
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 31
Static Power
P = CswVdd ∆V f + IstVdd + IstaticVdd
• Static energy consumption (IstaticVdd)
– Current sources – even uA bias currents can
add up.
– NMOS, pseudo-NMOS – not commonly used
– CMOS CML logic – significant power for
specialized use.
– Junction currents
– Subthreshold MOS currents
– Gate tunneling
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 32
Subthreshold Leakage
P = KVe(Vgs-Vt)q/nkT
(1 – e -Vds q/kT
)
• Supplies have been held artificially high (for freq)
– Threshold has not dropped as fast as it should (because of
variability and high supply voltages)
– We’d like to maintain Ion:Ioff = ~1000uA/u : 10nA/u
– Relatively poor performance => Low Vt options
• 70-180mV lower Vt, 10-100x higher leakage, 5-15% faster
• Subthreshold lkg especially increasing in short channel devices
(DIBL) & at high T – 100-1000nA/u
• Subthreshold slope 85-110 mV/decade
• Cooling changes the slope….but can it be energy efficient?
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 33
Passive Power Continues to Explode
PowerDensity(W/cm2
)
0.010.11
0.001
0.01
0.1
1
10
100
1000
Gate Length (microns)
Active
Power
Passive Power
1994 2005
Gate Leakage
Leakage is the price we pay for the increasing device performance
Fit of published active
and subthreshold CMOS
device leakage
densities
Src: Nowak, et al
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 34
Gate Leakage
• Gate tunneling becoming dominant leakage mechanism in very thin
gate oxides
• Current exponential in oxide thickness
• Current exponential in voltage across oxide
• Reduction techniques:
– Lower the field (voltage or oxide thickness)
– New gate ox material
Oxide interlayer
High-k material
Metal gate electrode
SiON
Poly-Si
30A
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 35
Future Leakage, Standby Power Trends
Src: ITRS ‘01
And, recall number of transistors/die
has been increasing 2X/2yrs
(Active power/gate should be 0.5x/gen,
has been 1X/gen)
20406080100120140160
Technology
0
50
100
150
Power(nW)
Standby Power/Gate
For the foreseeable future, leakage is a major power issue
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 36
Standby-Power Reduction Techniques
Standby power can be reduced through:
− Capacitance minimization
− Voltage-scaling
− Power gating
− Vdd/Vt selection
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 37
Capacitance minimization
Only the devices (device width) used in the design leak!
− Runs counter to the complexity-for-IPC trend
− Runs counter to the SOC trend
− Transistors are not free -- Even though they are not
switched they still leak
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 38
Voltage Scaling Standby Reduction
After Nowka, et.al. ISSCC ‘02
Decreasing the supply voltage significantly improves standby power
Subthreshold dominated technology
0.8 1 1.2 1.4 1.6 1.8 2
Logic Voltage(V)
0
0.5
1
1.5
2
StandbyPower(mW)
Logic leakage w/VCO inactive
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 39
Supply/Power Gating
• Especially for energy constrained (e.g. battery powered
systems). Two levels of gating:
– “Standby, freeze, sleep, deep-sleep, doze, nap,
hibernate”: lower or turn off power supply to system
to avoid power consumption when inactive
• Control difficulties, hidden-state, entry/exit, “instant-
on” or user-visible.
– Unit level power gating – turn off inactive units while
system is active
• Eg. MTCMOS
• Distribution, entry/exit control & glitching, state-loss…
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 40
MTCMOS
• Use header and/or footer switches to disconnect supplies when
inactive.
• For performance, low-Vt for logic devices.
• 10-100x leakage improvement, ~5% perf overhead
• Loss of state when disconnected from supplies
• Large number of variants in the literature
B
A
BA
Standby
headers/
footers
Xb
B
A
BA
Xb
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 41
Vt / Tox selection
• Low Vt devices on critical paths, rest high Vt
• 70-180mV higher Vt, 10-100x lower leakage, 5-20% slower
• Small fraction of devices low-Vt (1-5%)
• Thick oxide reduces gate leakage by orders of magnitude
Xb
Hi
threshold/
Thicker
oxide
XXbX
Low
threshold/
Thin oxide
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 42
Device Stacking
• Decreases subthreshold leakage
• Improvement beyond use of long channel device
• 2-5x improvement in subthreshold leakage
• 15-35% performance penalty
XXbX
Xb
Stacked
devices
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 43
Vt or/and Vdd selection
• Design tradeoff:
– Performance => High supply, low threshold
– Active Power => Low supply, low threshold
– Standby => Low supply, high threshold
• Static
– Stack effect – minimizing subthreshold thru single fet paths
– Multiple thresholds: High Vt and Low Vt transistors
– Multiple supplies: high and low Vdd
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 44
Vt or/and Vdd selection (cont’d)
• Design tradeoff:
– Performance => High supply, low threshold
– Active Power => Low supply, low threshold
– Standby => Low supply, high threshold
• Static
– Stack effect – minimizing subthreshold thru single fet paths
– Multiple thresholds: High Vt and Low Vt Transistors
– Multiple supplies: high and low Vdd
– Problem: optimum (Vdd,Vt) changes over time, across dice
• Dynamic (Vdd,Vt) selection
– DVS for supply voltage
– Dynamic threshold control thru:
• Active well
• Substrate biasing
• SOI back gate, DTMOS, dual-gate technologies
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 45
Hitachi-SH4 leakage reduction
Triple Well Process
Reverse Bias Active Well –
can achieve >100x leakage reduction
Switch
Cell
Switch
Cell1.8V
Logic
VDD
GND
GP
GN
Vbp
Vbn
1.8V
3.3V
0V
-1.5V
1.8V
0V
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 46
Nwell/Virtual Gnd Leakage Reduction
VDD
VSS
Vbp
GND
VDD
VDD+VB
0V
VB
0V
VDD
-
+VB
uP Core
Leakpfet
Leaknfet
Similar technique for Nwell/Psub technology
– Intel approach
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 47
Estimating Leakage Power Consumption
P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
• The problem is how to estimate the leakage current
• Estimating leakage currents
– Low level circuit analysis – spice analysis
– Higher level: spreadsheet/back-of-the-envelope/power
tools for estimation
• Subthreshold: Estimates based on the fraction of the device
width leaking. Usually evaluated for some non-nominal point
in the process and higher temperature. Aggregate or node-
by-node estimation of derating factors – fraction of devices
with field across the SD device ~1/3 for logic.
• Gate leakage: Estimates based on the fraction of the device
area leaking. Aggregate or node-by-node estimation of
derating factors – fraction of devices with field across the
gate of the device.
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 48
Agenda
Overview of VLSI power
Technology, Scaling, and Power
Review of scaling
A look at the real trends and projections for the future
Active power – components, trends, managing, estimating
Static power – components, trends, managing, estimating
Summary
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 49
Low Power Circuits Summary
Technology, Scaling, and Power
Technology scaling hasn’t solved the power/energy problems.
So what to do? We’ve shown that,
Do less and/or do in parallel at low V. For the circuit designer this
implies:
– supporting low V,
– supporting power-down modes,
– choosing the right mix of Vt,
– sizing devices appropriately
– choosing right Vdd, (adaptation!)
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 50
References
• Power Metrics
– T. Sakurai and A. Newton, “Alpha-power law MOSFET model and its
applications to CMOS inverter delay and other formulas”, IEEE
Journal of Solid State Circuits, v. 25.2, pp. 584-594, Apr. 1990.
– R. Gonzalez, B. Gordon, M. Horowitz, “Supply and threshold voltage
scaling for low power CMOS” IEEE Journal of Solid State Circuits, v.
32, no. 8, pp. 1210-1216, August 2000.
– Zyuban and Strenski, “Unified Methodology for Resolving Power-
Performance Tradeoffs at the Microarchitectural and Circuit
Levels”,ISPLED Aug.2002
– Brodersen, Horowitz, Markovic, Nikolic, Stojanovic “Methods for
True Power Minimization”, ICCAD Nov. 2002
– Stojanovic, Markovic, Nikolic, Horowitz, Brodersen, “Energy-Delay
Tradoffs in Combinational Logic using Gate Sizing and Supply
Voltage Optimization”, ESSCIRC, Sep. 2002
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 51
References
• Power/Low Power
– SIA, International Technology Roadmap for Semiconductors, 2001,2003, 2005 available
online.
– V. Agarwal, M.S. Hrishikesh, S.W. Keckler, and D. Burger. "Clock Rate Versus IPC: The
End of the Road for Conventional Microarchitectures," 27th International Symposium
on Computer Architecture (ISCA), June, 2000.
– Allan, et. al., “2001 Tech. Roadmap for Semiconductors”,IEEE Computer Jan. 2002
– Chandrakasan, Broderson, (ed) Low Power CMOS Design IEEE Press, 1998.
– Oklobdzija (ed) The Computer Engineering Handbook CRC Press, 2002
– Kuo, Lou Low voltage CMOS VLSI Circuits, Wiley, 1999.
– Bellaouar, Elmasry, Low Power Digital VLSI Design, Circuits and Systems, Kluwer,
1995.
– Chandrakasan, Broderson, Low Power Digital CMOS Design Kluwer, 1995.
– A. Correale, “Overview of the power minimization techniques employed in the IBM
PowerPC 4xx embedded controllers” IEEE Symposium on Low Power Electronics
Digest of Technical Papers, pp. 75-80, 1995.
– K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, K. Ishii, T. Nguyen, J. Burns,
“A 0.9V to 1.95V dynamic voltage scalable and frequency scalable 32-bit PowerPC
processor “, Proceedings of the IEEE International Solid State Circuits Conference,
Feb. 2002.
– K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, K. Ishii, T. Nguyen, J. Burns,
“A 32-bit PowerPC System-on-a-Chip with support for dynamic voltage scaling and
dynamic frequency scaling”, IEEE Journal of Solid State Circuits, November, 2002.
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 52
References
• Low Voltage / Voltage Scaling
– E. Vittoz, “Low-power design: ways to approach the limits” IEEE International Solid
State Circuits Conference Digest of Technical Papers, pp. 14-18, 1994.
– M. Horowitz, T. Indermaur, R. Gonzalez, “Low-power digital design” IEEE Symposium
on Low Power Electronics Digest of Technical Papers, pp. 8-11, 1994.
– R. Gonzalez, B. Gordon, M. Horowitz, “Supply and threshold voltage scaling for low
power CMOS” IEEE Journal of Solid State Circuits, v. 32, no. 8, pp. 1210-1216, August
2000.
– T. Burd and R. Brodersen, “Energy efficient CMOS microprocessor design ”
Proceedings of the Twenty-Eighth Hawaii International Conference on System
Sciences, v. 1, pp. 288-297, 466, 1995.
– K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda, T.
Maeda, T. Kuroda, “A 300 MIPS/W RISC core processor with variable supply-voltage
scheme in variable threshold-voltage CMOS” Proceedings of the IEEE Conference on
Custom Integrated Circuits Conference, pp. 587 –590, 1997
– T. Kuroda, K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K.
Matsuda, T. Maeda, T. Sakurai, T. Furuyama, “Variable supply-voltage scheme for low-
power high-speed CMOS digital design” IEEE Journal of Solid State Circuits, v. 33, no.
3, pp. 454-462, March 1998.
– T. Burd, T. Pering, A. Stratakos, R. Brodersen, “A dynamic voltage scaled
microprocessor system ” IEEE International Solid State Circuits Conference Digest of
Technical Papers, pp. 294-295, 466, 2000.
The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 53
References
• Technology and Circuit Techniques
– E. Nowak, et al., “Scaling beyond the 65 nm node with FinFET-DGCMOS” Proceedings
of the IEEE Custom Integrated Circuits Conference, Sept. 21-24, 2003, pp.339 – 342
– L. Clark, et al. “An embedded 32b microprocessor core for low-power and high-
performnace applications”, IEEE Journal of Solid State Circuits, V. 36, No. 11, Nov.
2001, pp. 1599-1608
– S. Mukhopadhyay, C. Neau, R. Cakici, A. Agarwal, C. Kim, and K. Roy, “Gate leakage
reduction for scaled devices using transistor stacking” IEEE Transactions on Very
Large Scale Integration (VLSI) Systems, Aug. 2003, pp. 716 – 730
– A. Bhavnagarwala, et al., “A pico-joule class, 1GHz, 32 Kbyte x 64b DSP SRAM with Self
Reverse Bias” 2003 Symposium on VLSI Circuits, June 2003, pp. 251-251.
– S. Mutoh, et al., “1-V Power Supply High-Speed Digital Circuit Technology with Multi-
Threshold Voltage CMOS,” IEEE Journal of Solid State Circuits, vol. 30, no. 8, pp. 847-
854, 1995.
– K. Das, et al., “New Optimal Design Strategies and Analysis of Ultra-Low Leakage
Circuits for Nano- Scale SOI Technology,” Proc. ISLPED, pp. 168-171, 2003.
– R. Rao, J. Burns and R. Brown, “Circuit Techniques for Gate and Sub-Threshold
Leakage Minimization in Future CMOS Technologies” Proc. ESSCIRC, pp. 2790-2795,
2003.
– R. Rao, J. Burns and R. Brown, “Analysis and optimization of enhanced MTCMOS
scheme” Proc. 17th International Conference on VLSI Design, 2004, pp. 234-239.

More Related Content

What's hot

Low power VLSI Degisn
Low power VLSI DegisnLow power VLSI Degisn
Low power VLSI DegisnNAVEEN TOKAS
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignDr. Shivananda Koteshwar
 
Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodologyAakash Patel
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
 
LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSIDuronto riyad
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniqueskeshava murali
 
Power consumption
Power consumptionPower consumption
Power consumptionsdpable
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniquesRamakrishna Kittu
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSISilicon Mentor
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
Clock gating
Clock gatingClock gating
Clock gatingMahi
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Adiabatic logic or clock powered logic
Adiabatic logic or clock powered logicAdiabatic logic or clock powered logic
Adiabatic logic or clock powered logicTuhinansu Pradhan
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignIOSRJECE
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power ManagementPatrick Bellasi
 
Low Power Memory
Low Power MemoryLow Power Memory
Low Power Memoryazmathmoosa
 

What's hot (20)

Low power VLSI Degisn
Low power VLSI DegisnLow power VLSI Degisn
Low power VLSI Degisn
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip Design
 
Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodology
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSI
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Power consumption
Power consumptionPower consumption
Power consumption
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Power Gating
Power GatingPower Gating
Power Gating
 
Clock gating
Clock gatingClock gating
Clock gating
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Adiabatic logic or clock powered logic
Adiabatic logic or clock powered logicAdiabatic logic or clock powered logic
Adiabatic logic or clock powered logic
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic Design
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power Management
 
Low Power Memory
Low Power MemoryLow Power Memory
Low Power Memory
 

Viewers also liked

7 pg diploma ic layout design
7 pg diploma ic layout design7 pg diploma ic layout design
7 pg diploma ic layout designmvreddy999
 
Low power low voltage operation of operational amplifier
Low power low voltage operation of operational amplifierLow power low voltage operation of operational amplifier
Low power low voltage operation of operational amplifiereSAT Journals
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer IJEEE
 
Vlsi circuits for low power
Vlsi circuits for low powerVlsi circuits for low power
Vlsi circuits for low powerMoumita Chakraborty
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power ReductionMahesh Dananjaya
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic designMahesh Dananjaya
 
Low Power Design - PPT 1
Low Power Design - PPT 1 Low Power Design - PPT 1
Low Power Design - PPT 1 Varun Bansal
 
5.3 components of fitness
5.3  components of fitness5.3  components of fitness
5.3 components of fitnessamanladda
 
Sensors, MEMS, Internet of Things
Sensors, MEMS, Internet of ThingsSensors, MEMS, Internet of Things
Sensors, MEMS, Internet of ThingsJeffrey Funk
 
Communication Engineering - Chapter 6 - Noise
Communication Engineering - Chapter 6 - NoiseCommunication Engineering - Chapter 6 - Noise
Communication Engineering - Chapter 6 - Noisemkazree
 

Viewers also liked (11)

7 pg diploma ic layout design
7 pg diploma ic layout design7 pg diploma ic layout design
7 pg diploma ic layout design
 
Low power low voltage operation of operational amplifier
Low power low voltage operation of operational amplifierLow power low voltage operation of operational amplifier
Low power low voltage operation of operational amplifier
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
 
Vlsi circuits for low power
Vlsi circuits for low powerVlsi circuits for low power
Vlsi circuits for low power
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Low Power Design - PPT 1
Low Power Design - PPT 1 Low Power Design - PPT 1
Low Power Design - PPT 1
 
5.3 components of fitness
5.3  components of fitness5.3  components of fitness
5.3 components of fitness
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Sensors, MEMS, Internet of Things
Sensors, MEMS, Internet of ThingsSensors, MEMS, Internet of Things
Sensors, MEMS, Internet of Things
 
Communication Engineering - Chapter 6 - Noise
Communication Engineering - Chapter 6 - NoiseCommunication Engineering - Chapter 6 - Noise
Communication Engineering - Chapter 6 - Noise
 

Similar to Nowka low-power-07

Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...CatalinGabrielDincan
 
Iaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd Iaetsd
 
Industry outlook_ ABB.pdf
Industry outlook_ ABB.pdfIndustry outlook_ ABB.pdf
Industry outlook_ ABB.pdfssuser8073a0
 
LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.pptssuser36861c
 
RobertPresentation.ppt
RobertPresentation.pptRobertPresentation.ppt
RobertPresentation.pptRevathiMohan14
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdfManiBharathNuti1
 
The Intellectual Automated Substation
The Intellectual Automated SubstationThe Intellectual Automated Substation
The Intellectual Automated Substationijtsrd
 
Step up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveStep up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveEditor IJMTER
 
Split core ct, rogowski coil (2016)
Split core ct, rogowski coil (2016)Split core ct, rogowski coil (2016)
Split core ct, rogowski coil (2016)Eric Bin
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Calculation of short_circuit_currents
Calculation of short_circuit_currentsCalculation of short_circuit_currents
Calculation of short_circuit_currentsRaymund Cortez
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.pptECEHoD16
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt8885684828
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.pptGodwinraj D
 

Similar to Nowka low-power-07 (20)

Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 
Iaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing low
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Industry outlook_ ABB.pdf
Industry outlook_ ABB.pdfIndustry outlook_ ABB.pdf
Industry outlook_ ABB.pdf
 
LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
 
RobertPresentation.ppt
RobertPresentation.pptRobertPresentation.ppt
RobertPresentation.ppt
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
5 FINAL PROJECT REPORT
5 FINAL PROJECT REPORT5 FINAL PROJECT REPORT
5 FINAL PROJECT REPORT
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
The Intellectual Automated Substation
The Intellectual Automated SubstationThe Intellectual Automated Substation
The Intellectual Automated Substation
 
Step up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveStep up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor Drive
 
Split core ct, rogowski coil (2016)
Split core ct, rogowski coil (2016)Split core ct, rogowski coil (2016)
Split core ct, rogowski coil (2016)
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Calculation of short_circuit_currents
Calculation of short_circuit_currentsCalculation of short_circuit_currents
Calculation of short_circuit_currents
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
 

Recently uploaded

Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Jisc
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Celine George
 
How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17Celine George
 
Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Celine George
 
Q4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxQ4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxnelietumpap1
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatYousafMalik24
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)lakshayb543
 
Judging the Relevance and worth of ideas part 2.pptx
Judging the Relevance  and worth of ideas part 2.pptxJudging the Relevance  and worth of ideas part 2.pptx
Judging the Relevance and worth of ideas part 2.pptxSherlyMaeNeri
 
Proudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxProudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxthorishapillay1
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceSamikshaHamane
 
Full Stack Web Development Course for Beginners
Full Stack Web Development Course  for BeginnersFull Stack Web Development Course  for Beginners
Full Stack Web Development Course for BeginnersSabitha Banu
 
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Celine George
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxCarlos105
 
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfInclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfTechSoup
 
How to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPHow to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPCeline George
 
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxiammrhaywood
 
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...JhezDiaz1
 
Computed Fields and api Depends in the Odoo 17
Computed Fields and api Depends in the Odoo 17Computed Fields and api Depends in the Odoo 17
Computed Fields and api Depends in the Odoo 17Celine George
 
Choosing the Right CBSE School A Comprehensive Guide for Parents
Choosing the Right CBSE School A Comprehensive Guide for ParentsChoosing the Right CBSE School A Comprehensive Guide for Parents
Choosing the Right CBSE School A Comprehensive Guide for Parentsnavabharathschool99
 

Recently uploaded (20)

Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...Procuring digital preservation CAN be quick and painless with our new dynamic...
Procuring digital preservation CAN be quick and painless with our new dynamic...
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17
 
How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17How to Add Barcode on PDF Report in Odoo 17
How to Add Barcode on PDF Report in Odoo 17
 
Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17Field Attribute Index Feature in Odoo 17
Field Attribute Index Feature in Odoo 17
 
Q4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptxQ4 English4 Week3 PPT Melcnmg-based.pptx
Q4 English4 Week3 PPT Melcnmg-based.pptx
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice great
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
 
Judging the Relevance and worth of ideas part 2.pptx
Judging the Relevance  and worth of ideas part 2.pptxJudging the Relevance  and worth of ideas part 2.pptx
Judging the Relevance and worth of ideas part 2.pptx
 
Proudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptxProudly South Africa powerpoint Thorisha.pptx
Proudly South Africa powerpoint Thorisha.pptx
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in Pharmacovigilance
 
Full Stack Web Development Course for Beginners
Full Stack Web Development Course  for BeginnersFull Stack Web Development Course  for Beginners
Full Stack Web Development Course for Beginners
 
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
Incoming and Outgoing Shipments in 3 STEPS Using Odoo 17
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
 
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfInclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
 
How to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPHow to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERP
 
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptxECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
ECONOMIC CONTEXT - PAPER 1 Q3: NEWSPAPERS.pptx
 
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...
ENGLISH 7_Q4_LESSON 2_ Employing a Variety of Strategies for Effective Interp...
 
Computed Fields and api Depends in the Odoo 17
Computed Fields and api Depends in the Odoo 17Computed Fields and api Depends in the Odoo 17
Computed Fields and api Depends in the Odoo 17
 
Choosing the Right CBSE School A Comprehensive Guide for Parents
Choosing the Right CBSE School A Comprehensive Guide for ParentsChoosing the Right CBSE School A Comprehensive Guide for Parents
Choosing the Right CBSE School A Comprehensive Guide for Parents
 

Nowka low-power-07

  • 1. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 1 Circuits Design for Low Power Kevin Nowka, IBM Austin Research Laboratory EE-382M VLSI–II
  • 2. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 2 Agenda Overview of VLSI power Technology, Scaling, and Power Review of scaling A look at the real trends and projections for the future Active power – components, trends, managing, estimating Static power – components, trends, managing, estimating Summary
  • 3. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 3 A quick look at the power consumption of a modern Laptop (IBM R40) Src: Mahesri et al., U of Illinois, 2004 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% CPU Workload 26W FTP Tx 17W 3D Games 30W Other LCD+BckLt Wireless Mem Graphics NB/SB; misc CPU Power is all about the (digital) VLSI circuits…..and the backlight!
  • 4. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 4 A quick look at the power consumption of a Server Source Bose, Hot Chips 2005, cpu pwr mem i/o Again, it’s a VLSI problem – but this time with analog!
  • 5. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 5 Designing within limits: power & energy • Thermal limits (for most parts self-heating is a substantial thermal issue) - package cost (4-5W limit for cheap plastic package, 50-100W/sq-cm air cooled limit, 5k-7.5kW 19” rack) - Device reliability (junction temp > 125C quickly reduces reliability) - Performance (25C -> 105C loss of 30% of performance) - Distribution limits - Substantial portion of wiring resource, area for power dist. - Higher current => lower R, greater dI/dt => more wire, decap - Package capable of low impedance distribution - Energy capacity limits - AA battery ~1000mA.hr => limits power, function, or lifetime - Energy cost - Energy for IT equipment large fraction of total cost of ownership
  • 6. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 6 Agenda Overview of VLSI power Technology, Scaling, and Power Review of scaling A look at the real trends and projections for the future Active power – components, trends, managing, estimating Static power – components, trends, managing, estimating Summary
  • 7. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 7 CMOS circuit power consumption components P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • Dynamic power consumption ( ½ CswVdd ∆V f + IstVdd) – Load switching (including parasitic & interconnect) – Glitching – Shoot through power (IstVdd) • Static power consumption (IstaticVdd) – Current sources – bias currents – Current dependent logic -- NMOS, pseudo-NMOS, CML – Junction currents – Subthreshold MOS currents – Gate tunneling
  • 8. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 8 Review of Constant Field Scaling Parameter Value Scaled Value Dimensions L, W, Tox ÎąL, ÎąW, ÎąTox Dopant concentrations Na, Nd Na/Îą, Nd/Îą Voltage V ÎąV Field Ε Ε Capacitance C ÎąC Current I ÎąI Propagation time (~CV/I) t Îąt Power (VI) P Îą2 P Density d d/Îą2 Power density P/A P/A These are distributions… how do the σ s scale? n+STI STI p n+ Transistor Isolation n+STI STI p n+ Transistor Source Transistor Gate Transistor Drain Conventional Silicon Substrate Electron Flow Electron Flow All Features Reduce in Width and Thickness Shorter Distance for Electron Flow Produce Faster Transistors Scale factor Îą<1
  • 9. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 9 Agenda Overview of VLSI power Technology, Scaling, and Power Review of scaling A look at the real trends and projections for the future Active power – components, trends, managing, estimating Static power – components, trends, managing, estimating Summary
  • 10. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 10 CMOS Circuit Delay and Frequency Td = kCV/I = kCV/(Vdd-Vt)Îą VLSI system frequency determined by: Sum of propagation delays across gates in “critical path” -- Each gate delay, includes time to charge/discharge load thru one or more FETs and interconnect delay to distribute the signal to next gate input. Sakuri Îą-power law model of delay P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 11. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 11 Gate Delay Trends Td = kCV/I = kCV/(Vdd-Vt)Îą Each technology generation, gate delay reduced about 30% (src: ITRS ’05) Consistent with C.F. Scaling P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 12. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 12 Microprocessor Frequency In practice the trend is: Frequency increasing by 2X (delay decreasing by 50%), not the 1.4X (30%) for constant field scaling for 1um to 65nm node (src: ITRS ’01). Why? decreasing logic/stage and increased pipeline depth. 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 technology 0 10 20 30 40 50 60 70 80 90 Fo4/cycle 0 5 10 15 20 25 30 35 period(ns) cycle in FO4 Period Intel 32b (after Hrishikesh, et. al) * Below 65nm node return to 1.4X/generation [ITRS’05] Why? P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 13. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 13 Dynamic Energy ∍ ∍∍ = ∞∞ = == == Vdd Vout ddLoutddLVdd out dd t ddVdd VCdVVCE dt dt dV CVdtVtiE LVdd 0 2 00 )( Vout ∍ ∍ ∍ = ∞ = ∞ == == Vdd Vout ddLoutoutL out t out LoutCL VCdVVCEc dtV dt dV CdtVtiEc 0 2 0 0 2 1 )( Energy dissipated for either output transition consumes: ½ CL Vdd 2 CL iVdd Gate level energy consumption should improve as Îą3 under constant field scaling, but…. P = ½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 14. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 14 Supply Voltage Trend With each generation, voltage has decreased 0.85x, not 0.7x for constant field. Thus, energy/device is decreasing by 50% rather than 65% 0 0.5 1 1.5 2 2.5 0.25m 0.18m 0.13m 90nm 65nm 45nm Vdd (Volts) Slow decline to 0.7V in 22nm (some think nothing below 0.9V for HP uProcs) P =½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 15. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 15 Active Power Trend But, number of transistors has been increasing, thus - a net increase in energy consumption, - with freq 2x, active power is increasing by 50% (src: ITRS ’01-’05) 20406080100120140160 Technology 100 150 200 250 300 Power(W) Expected HP MP power ITRS’01 ITRS’05 198 Watts forever! P =½ CswVdd ∆V f + IstVdd + IstaticVdd
  • 16. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 16 Recent (180nm – 65nm) “Real Scaling” Parameter Value Scaled Value Dimensions L, W, Tox 0.7 L, 0.7 W, 0.7 Tox Dopant concentrations Na, Nd 1.4 Na, 1.4 Nd Voltage V 0.7 V Performance F 1.4 F Power/device P 0.5 P Power/chip P 1 P Power density P/A P/A 0.9 V 2.0 F 1.0 P 2.0 P/A 1.5 P
  • 17. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 17 Future (65nm – 22nm) “Projected Scaling” Parameter Value Scaled Value Dimensions L, W, Tox 0.7 L, 0.7 W, 0.7 Tox Dopant concentrations Na, Nd 1.4 Na, 1.4 Nd Voltage V 0.7 V Performance F 1.4 F Power/device P 0.5 P Power/chip P 1 P Power density P/A P/A 0.9 V 0.8 P 1.2 P/A 1.2 P 198 Watts forever!? How?
  • 18. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 18 Active-Power Reduction Techniques P = ½ CswVdd ∆V f + IstVdd + IstaticVdd Active power can be reduced through: − Capacitance minimization − Power/Performance in sizing − Clock-gating − Glitch suppression − Hardware-accelerators − System-on-a-chip integration − Voltage minimization − (Dynamic) voltage-scaling − Low swing signaling − SOC/Accelerators − Frequency minimization − (Dynamic) frequency-scaling − SOC/Accelerators
  • 19. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 19 Capacitance minimization P = ½ CswVdd ∆V f + IstVdd + IstaticVdd Only the devices (device width) used in the design consume active power! − Runs counter to the complexity-for-IPC trend − Runs counter to the SOC trend
  • 20. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 20 Capacitance minimization Example of managing design capacitance: Device sizing for power efficiency is significantly different than sizing for performance – eg. sizing of the gate size multiplier in an exponential-horn of inverters for driving large loads. 0 2 4 6 8 10 Multiplier k 1 10 100 Metric Energy Delay Energy.Delay Energy.Delay^2
  • 21. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 21 Functional Clock Gating P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • 25-50% of power consumption due to driving latches (Bose, Martinozi, Brooks 2001 50%) • Utilization of most latches is low (~10-35%) • Gate off unused latches and associated logic: – Unit level clock gating – turn off clocks to FPU, MMX, Shifter, L/S unit, … at clk buffer or splitter – Functional clock gating – turn off clocks to individual latch banks – forwarding latch, shift-amount register, overflow logic & latches, …qualify (AND) clock to latch • Asynch is the most aggressive gating – but is it efficient?
  • 22. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 22 Glitch suppression P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • Glitches can represent a sizeable portion of active power, (up to 30% for some circuits in some studies) • Three basic mechanisms for avoidance: – Use non-glitching logic, e.g. domino – Add redundant logic to avoid glitching hazards • Increases cap, testability problems – Adjust delays in the design to avoid • Shouldn’t timing tools do this already if it is possible?
  • 23. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 23 Voltage minimization P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • Lowering voltage swing, ∆V, lowers power – Low swing logic efforts have not been very successful (unless you consider array voltage sensing) – Low swing busses have been quite successful • Lowering supply, Vdd and ∆V, (voltage scaling) is most promising: – Frequency ~V, Power ~V3
  • 24. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 24 Avg Relative Ring Osc Delay/Power 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 0.7 0.95 1.2 1.45 1.7 Supply Voltage 0 0.2 0.4 0.6 0.8 1 1.2 a-pwr delay meas delay model pwr meas pwr Voltage Scaling Reduces Active Power • Voltage Scaling Benefits − Can be used widely over entire chip − Complementary CMOS scales well over a wide voltage range => Can optimize power/performance (MIPS/mW) over a wide range • Voltage Scaling Challenges − Custom CPUs, Analog, PLLs, and I/O drivers don’t voltage scale easily − Sensitivity to supply voltage varies circuit to circuit – esp SRAM, buffers, NAND4 − Thresholds tend to be too high at low supply After Carpenter, Microprocessor forum, ‘01
  • 25. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 25 1 1.2 1.4 1.6 1.8 2 Supply Voltage (V) 0 100 200 300 400 500 Frequency(MHz) 0 100 200 300 400 500 Power(mW) Measured Freq Measured Power Dynamic Voltage-Scaling (e.g. XScale, PPC405LP) After Nowka, et.al. ISSCC, Feb ‘02 PowerPC 405LP measurements: 18:1 power range over 4:1 frequency range
  • 26. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 26 Frequency minimization P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • Lowering frequency lowers power linearly – DOES NOT improve energy efficiency, just slows down energy consumption – Important for avoiding thermal problems
  • 27. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 27 Voltage-Frequency-Scaling Measurements PowerPC 405LP Freq scale Âź freq, Âź pwr; DVS Âź freq, 1/10 pwr Freq Scaling Plus DVS Src: After Nowka, et.al. JSSC, Nov ‘02
  • 28. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 28 Shoot-through minimization P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • For most designs, shoot-thru represents 8-15% of active power. • Avoidance and minimization: – Lower supply voltage – Domino? – Avoid slow input slews – Careful of level-shifters in multiple voltage domain designs Both Pfet & Nfet conducting in out in out Ist
  • 29. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 29 Estimating Active Power Consumption P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • The problem is how to estimate capacitance switched • Switch factor SF: ½ Csw = ÎŁ SF Cnode – Low level circuit analysis – spice analysis – Higher level: spreadsheet/back-of-the-envelope/power tools for estimation • Aggregate or node-by-node estimation of switch factors – 1.0 ungated clocks, 0.5 signals which switch every cycle, 0.1-0.2 for processor logic • These can be more accurately derived by tools which look at pattern dependence and timing • Node Capacitance – sum of all cap: output driver parasitic, interconnect, load gate cap i ii
  • 30. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 30 Agenda Overview of VLSI power Technology, Scaling, and Power Review of scaling A look at the real trends and projections for the future Active power – components, trends, managing, estimating Static power – components, trends, managing, estimating Summary
  • 31. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 31 Static Power P = CswVdd ∆V f + IstVdd + IstaticVdd • Static energy consumption (IstaticVdd) – Current sources – even uA bias currents can add up. – NMOS, pseudo-NMOS – not commonly used – CMOS CML logic – significant power for specialized use. – Junction currents – Subthreshold MOS currents – Gate tunneling
  • 32. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 32 Subthreshold Leakage P = KVe(Vgs-Vt)q/nkT (1 – e -Vds q/kT ) • Supplies have been held artificially high (for freq) – Threshold has not dropped as fast as it should (because of variability and high supply voltages) – We’d like to maintain Ion:Ioff = ~1000uA/u : 10nA/u – Relatively poor performance => Low Vt options • 70-180mV lower Vt, 10-100x higher leakage, 5-15% faster • Subthreshold lkg especially increasing in short channel devices (DIBL) & at high T – 100-1000nA/u • Subthreshold slope 85-110 mV/decade • Cooling changes the slope….but can it be energy efficient?
  • 33. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 33 Passive Power Continues to Explode PowerDensity(W/cm2 ) 0.010.11 0.001 0.01 0.1 1 10 100 1000 Gate Length (microns) Active Power Passive Power 1994 2005 Gate Leakage Leakage is the price we pay for the increasing device performance Fit of published active and subthreshold CMOS device leakage densities Src: Nowak, et al
  • 34. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 34 Gate Leakage • Gate tunneling becoming dominant leakage mechanism in very thin gate oxides • Current exponential in oxide thickness • Current exponential in voltage across oxide • Reduction techniques: – Lower the field (voltage or oxide thickness) – New gate ox material Oxide interlayer High-k material Metal gate electrode SiON Poly-Si 30A
  • 35. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 35 Future Leakage, Standby Power Trends Src: ITRS ‘01 And, recall number of transistors/die has been increasing 2X/2yrs (Active power/gate should be 0.5x/gen, has been 1X/gen) 20406080100120140160 Technology 0 50 100 150 Power(nW) Standby Power/Gate For the foreseeable future, leakage is a major power issue
  • 36. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 36 Standby-Power Reduction Techniques Standby power can be reduced through: − Capacitance minimization − Voltage-scaling − Power gating − Vdd/Vt selection
  • 37. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 37 Capacitance minimization Only the devices (device width) used in the design leak! − Runs counter to the complexity-for-IPC trend − Runs counter to the SOC trend − Transistors are not free -- Even though they are not switched they still leak
  • 38. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 38 Voltage Scaling Standby Reduction After Nowka, et.al. ISSCC ‘02 Decreasing the supply voltage significantly improves standby power Subthreshold dominated technology 0.8 1 1.2 1.4 1.6 1.8 2 Logic Voltage(V) 0 0.5 1 1.5 2 StandbyPower(mW) Logic leakage w/VCO inactive
  • 39. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 39 Supply/Power Gating • Especially for energy constrained (e.g. battery powered systems). Two levels of gating: – “Standby, freeze, sleep, deep-sleep, doze, nap, hibernate”: lower or turn off power supply to system to avoid power consumption when inactive • Control difficulties, hidden-state, entry/exit, “instant- on” or user-visible. – Unit level power gating – turn off inactive units while system is active • Eg. MTCMOS • Distribution, entry/exit control & glitching, state-loss…
  • 40. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 40 MTCMOS • Use header and/or footer switches to disconnect supplies when inactive. • For performance, low-Vt for logic devices. • 10-100x leakage improvement, ~5% perf overhead • Loss of state when disconnected from supplies • Large number of variants in the literature B A BA Standby headers/ footers Xb B A BA Xb
  • 41. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 41 Vt / Tox selection • Low Vt devices on critical paths, rest high Vt • 70-180mV higher Vt, 10-100x lower leakage, 5-20% slower • Small fraction of devices low-Vt (1-5%) • Thick oxide reduces gate leakage by orders of magnitude Xb Hi threshold/ Thicker oxide XXbX Low threshold/ Thin oxide
  • 42. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 42 Device Stacking • Decreases subthreshold leakage • Improvement beyond use of long channel device • 2-5x improvement in subthreshold leakage • 15-35% performance penalty XXbX Xb Stacked devices
  • 43. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 43 Vt or/and Vdd selection • Design tradeoff: – Performance => High supply, low threshold – Active Power => Low supply, low threshold – Standby => Low supply, high threshold • Static – Stack effect – minimizing subthreshold thru single fet paths – Multiple thresholds: High Vt and Low Vt transistors – Multiple supplies: high and low Vdd
  • 44. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 44 Vt or/and Vdd selection (cont’d) • Design tradeoff: – Performance => High supply, low threshold – Active Power => Low supply, low threshold – Standby => Low supply, high threshold • Static – Stack effect – minimizing subthreshold thru single fet paths – Multiple thresholds: High Vt and Low Vt Transistors – Multiple supplies: high and low Vdd – Problem: optimum (Vdd,Vt) changes over time, across dice • Dynamic (Vdd,Vt) selection – DVS for supply voltage – Dynamic threshold control thru: • Active well • Substrate biasing • SOI back gate, DTMOS, dual-gate technologies
  • 45. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 45 Hitachi-SH4 leakage reduction Triple Well Process Reverse Bias Active Well – can achieve >100x leakage reduction Switch Cell Switch Cell1.8V Logic VDD GND GP GN Vbp Vbn 1.8V 3.3V 0V -1.5V 1.8V 0V
  • 46. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 46 Nwell/Virtual Gnd Leakage Reduction VDD VSS Vbp GND VDD VDD+VB 0V VB 0V VDD - +VB uP Core Leakpfet Leaknfet Similar technique for Nwell/Psub technology – Intel approach
  • 47. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 47 Estimating Leakage Power Consumption P = ½ CswVdd ∆V f + IstVdd + IstaticVdd • The problem is how to estimate the leakage current • Estimating leakage currents – Low level circuit analysis – spice analysis – Higher level: spreadsheet/back-of-the-envelope/power tools for estimation • Subthreshold: Estimates based on the fraction of the device width leaking. Usually evaluated for some non-nominal point in the process and higher temperature. Aggregate or node- by-node estimation of derating factors – fraction of devices with field across the SD device ~1/3 for logic. • Gate leakage: Estimates based on the fraction of the device area leaking. Aggregate or node-by-node estimation of derating factors – fraction of devices with field across the gate of the device.
  • 48. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 48 Agenda Overview of VLSI power Technology, Scaling, and Power Review of scaling A look at the real trends and projections for the future Active power – components, trends, managing, estimating Static power – components, trends, managing, estimating Summary
  • 49. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 49 Low Power Circuits Summary Technology, Scaling, and Power Technology scaling hasn’t solved the power/energy problems. So what to do? We’ve shown that, Do less and/or do in parallel at low V. For the circuit designer this implies: – supporting low V, – supporting power-down modes, – choosing the right mix of Vt, – sizing devices appropriately – choosing right Vdd, (adaptation!)
  • 50. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 50 References • Power Metrics – T. Sakurai and A. Newton, “Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas”, IEEE Journal of Solid State Circuits, v. 25.2, pp. 584-594, Apr. 1990. – R. Gonzalez, B. Gordon, M. Horowitz, “Supply and threshold voltage scaling for low power CMOS” IEEE Journal of Solid State Circuits, v. 32, no. 8, pp. 1210-1216, August 2000. – Zyuban and Strenski, “Unified Methodology for Resolving Power- Performance Tradeoffs at the Microarchitectural and Circuit Levels”,ISPLED Aug.2002 – Brodersen, Horowitz, Markovic, Nikolic, Stojanovic “Methods for True Power Minimization”, ICCAD Nov. 2002 – Stojanovic, Markovic, Nikolic, Horowitz, Brodersen, “Energy-Delay Tradoffs in Combinational Logic using Gate Sizing and Supply Voltage Optimization”, ESSCIRC, Sep. 2002
  • 51. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 51 References • Power/Low Power – SIA, International Technology Roadmap for Semiconductors, 2001,2003, 2005 available online. – V. Agarwal, M.S. Hrishikesh, S.W. Keckler, and D. Burger. "Clock Rate Versus IPC: The End of the Road for Conventional Microarchitectures," 27th International Symposium on Computer Architecture (ISCA), June, 2000. – Allan, et. al., “2001 Tech. Roadmap for Semiconductors”,IEEE Computer Jan. 2002 – Chandrakasan, Broderson, (ed) Low Power CMOS Design IEEE Press, 1998. – Oklobdzija (ed) The Computer Engineering Handbook CRC Press, 2002 – Kuo, Lou Low voltage CMOS VLSI Circuits, Wiley, 1999. – Bellaouar, Elmasry, Low Power Digital VLSI Design, Circuits and Systems, Kluwer, 1995. – Chandrakasan, Broderson, Low Power Digital CMOS Design Kluwer, 1995. – A. Correale, “Overview of the power minimization techniques employed in the IBM PowerPC 4xx embedded controllers” IEEE Symposium on Low Power Electronics Digest of Technical Papers, pp. 75-80, 1995. – K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, K. Ishii, T. Nguyen, J. Burns, “A 0.9V to 1.95V dynamic voltage scalable and frequency scalable 32-bit PowerPC processor “, Proceedings of the IEEE International Solid State Circuits Conference, Feb. 2002. – K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, K. Ishii, T. Nguyen, J. Burns, “A 32-bit PowerPC System-on-a-Chip with support for dynamic voltage scaling and dynamic frequency scaling”, IEEE Journal of Solid State Circuits, November, 2002.
  • 52. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 52 References • Low Voltage / Voltage Scaling – E. Vittoz, “Low-power design: ways to approach the limits” IEEE International Solid State Circuits Conference Digest of Technical Papers, pp. 14-18, 1994. – M. Horowitz, T. Indermaur, R. Gonzalez, “Low-power digital design” IEEE Symposium on Low Power Electronics Digest of Technical Papers, pp. 8-11, 1994. – R. Gonzalez, B. Gordon, M. Horowitz, “Supply and threshold voltage scaling for low power CMOS” IEEE Journal of Solid State Circuits, v. 32, no. 8, pp. 1210-1216, August 2000. – T. Burd and R. Brodersen, “Energy efficient CMOS microprocessor design ” Proceedings of the Twenty-Eighth Hawaii International Conference on System Sciences, v. 1, pp. 288-297, 466, 1995. – K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda, T. Maeda, T. Kuroda, “A 300 MIPS/W RISC core processor with variable supply-voltage scheme in variable threshold-voltage CMOS” Proceedings of the IEEE Conference on Custom Integrated Circuits Conference, pp. 587 –590, 1997 – T. Kuroda, K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda, T. Maeda, T. Sakurai, T. Furuyama, “Variable supply-voltage scheme for low- power high-speed CMOS digital design” IEEE Journal of Solid State Circuits, v. 33, no. 3, pp. 454-462, March 1998. – T. Burd, T. Pering, A. Stratakos, R. Brodersen, “A dynamic voltage scaled microprocessor system ” IEEE International Solid State Circuits Conference Digest of Technical Papers, pp. 294-295, 466, 2000.
  • 53. The University of Texas at AustinEE382M VLSI-II Class Notes Foil # 53 References • Technology and Circuit Techniques – E. Nowak, et al., “Scaling beyond the 65 nm node with FinFET-DGCMOS” Proceedings of the IEEE Custom Integrated Circuits Conference, Sept. 21-24, 2003, pp.339 – 342 – L. Clark, et al. “An embedded 32b microprocessor core for low-power and high- performnace applications”, IEEE Journal of Solid State Circuits, V. 36, No. 11, Nov. 2001, pp. 1599-1608 – S. Mukhopadhyay, C. Neau, R. Cakici, A. Agarwal, C. Kim, and K. Roy, “Gate leakage reduction for scaled devices using transistor stacking” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Aug. 2003, pp. 716 – 730 – A. Bhavnagarwala, et al., “A pico-joule class, 1GHz, 32 Kbyte x 64b DSP SRAM with Self Reverse Bias” 2003 Symposium on VLSI Circuits, June 2003, pp. 251-251. – S. Mutoh, et al., “1-V Power Supply High-Speed Digital Circuit Technology with Multi- Threshold Voltage CMOS,” IEEE Journal of Solid State Circuits, vol. 30, no. 8, pp. 847- 854, 1995. – K. Das, et al., “New Optimal Design Strategies and Analysis of Ultra-Low Leakage Circuits for Nano- Scale SOI Technology,” Proc. ISLPED, pp. 168-171, 2003. – R. Rao, J. Burns and R. Brown, “Circuit Techniques for Gate and Sub-Threshold Leakage Minimization in Future CMOS Technologies” Proc. ESSCIRC, pp. 2790-2795, 2003. – R. Rao, J. Burns and R. Brown, “Analysis and optimization of enhanced MTCMOS scheme” Proc. 17th International Conference on VLSI Design, 2004, pp. 234-239.