SlideShare a Scribd company logo
1 of 65
Pertemuan 4
Digital Logic Design K. MAP
October 7, 2020 PNJ 1
October 7, 2020 2
Introduction
• Untuk perancangan Rangkaian
Kombinatorial perlu dilakukan
Minimisasi penggunaan gerbang.
• Gate-level minimization refers to the
design task of finding an optimal
gate-level implementation of Boolean
functions describing a digital circuit.
October 7, 2020 3
Review of Boolean Function
• Boolean function
–Sum of minterms
–Sum of products (or product of sum)
in the simplest form
–A minimum number of terms
–The simplified expression may not be
unique
What are Karnaugh1 maps?
• Karnaugh maps provide an alternative way of simplifying
logic circuits.
• Instead of using Boolean algebra simplification
techniques, you can transfer logic values from a Boolean
statement or a truth table into a Karnaugh map.
• The arrangement of 0's and 1's within the map helps
you to visualise the logic relationships between the
variables and leads directly to a simplified Boolean
statement.
1Named for the American electrical engineer Maurice Karnaugh.
October 7, 2020 5
The Map Method
• The complexity of the digital logic gates
– The complexity of the algebraic expression
• Logic minimization
– Algebraic approaches: lack specific rules
– The Karnaugh map
• A simple straight forward procedure
• A pictorial form of a truth table
• Applicable if the # of variables < 7
• A diagram made up of squares
– Each square represents one minterm
October 7, 2020 6
Two-Variable Map
 A two-variable map
 Four minterms
 x' = row 0; x = row 1
 y' = column 0; y =
column 1
 A truth table in
square diagram
 Fig. 3.2(a): xy = m3
 Fig. 3.2(b): x+y =
x'y+xy' +xy =
m1+m2+m3
Figure 3.2 Representation of functions in the map
Figure 3.1 Two-variable Map
October 7, 2020 7
A Three-variable Map
• A three-variable map
– Eight minterms
– The Gray code sequence
– Any two adjacent squares in the map differ by
only on variable
Figure 3.3 Three-variable Map
October 7, 2020 8
Example 3.1
• Example 3.1: simplify the Boolean function F(x, y, z)
= S(2, 3, 4, 5)
– F(x, y, z) = S(2, 3, 4, 5) = x'y + xy'
Figure 3.4 Map for Example 3.1, F(x, y, z) = Σ(2, 3, 4, 5) = x'y + xy'
October 7, 2020 9
Example 3.2
• Example 3.2: simplify F(x, y, z) = S(3, 4, 6, 7)
– F(x, y, z) = S(3, 4, 6, 7) = yz+ xz'
Figure 3.5 Map for Example 3-2; F(x, y, z) = Σ(3, 4, 6, 7) = yz + xz'
October 7, 2020 10
Four adjacent Squares
• Consider four adjacent squares
– 2, 4, and 8 squares
– m0+m2+m4+m6 = x'y'z'+x'yz'+xy'z'+xyz' = x'z'(y'+y)
+xz'(y'+y) = x'z' + xz‘ = z'
– m1+m3+m5+m7 = x'y'z+x'yz+xy'z+xyz =x'z(y'+y) + xz(y'+y)
=x'z + xz = z
Figure 3.3 Three-variable Map
October 7, 2020 11
Example 3.3
 Example 3.3: simplify F(x, y, z) = S(0, 2, 4, 5, 6)
– F(x, y, z) = S(0, 2, 4, 5, 6) = z'+ xy'
Figure 3.6 Map for Example 3-3, F(x, y, z) = Σ(0, 2, 4, 5, 6) = z' +xy'
October 7, 2020 12
October 7, 2020 13
Example 3.4
• Example 3.4: let F = A'C + A'B + AB'C + BC
a) Express it in sum of minterms.
b) Find the minimal sum of products expression.
Ans:
F(A, B, C) = S(1, 2, 3, 5, 7) = C + A'B
Figure 3.7 Map for Example 3.4, A'C + A'B + AB'C + BC = C + A'B
Three-Variable K-Maps
 == CB(0,4)f  == BA(4,5)f  == B(0,1,4,5)f  == A(0,1,2,3)f
BC
00
0
01
1
11 10A
1 0 0 0
1 0 0 0
BC
00
0
01
1
11 10A
0 0 0 0
1 1 0 0
BC
00
0
01
1
11 10A
1 1 1 1
0 0 0 0
BC
00
0
01
1
11 10A
1 1 0 0
1 1 0 0
 == CA(0,4)f  == CA(4,6)f  == CA(0,2)f  == C(0,2,4,6)f
BC
00
0
01
1
11 10A
0 1 1 0
0 0 0 0
BC
00
0
01
1
11 10A
0 0 0 0
1 0 0 1
BC
00
0
01
1
11 10A
1 0 0 1
1 0 0 1
BC
00
0
01
1
11 10A
1 0 0 1
0 0 0 0
October 7, 2020 15
3.3 Four-Variable Map
• The map
– 16 minterms
– Combinations of 2, 4, 8, and 16 adjacent squares
Figure 3.8 Four-variable Map
October 7, 2020 16
Example 3.5
• Example 3.5: simplify F(w, x, y, z) = S(0, 1, 2,
4, 5, 6, 8, 9, 12, 13, 14)
F = y'+w'z'+xz'
Figure 3.9 Map for Example 3-5; F(w, x, y, z) = Σ(0, 1, 2, 4, 5, 6, 8, 9, 12, 13, 14) = y' + w' z' +xz'
October 7, 2020 17
Example 3.6
• Example 3-6: simplify F = ABC + BCD + ABCD
+ ABC
Figure 3.9 Map for Example 3-6; ABC + BCD + ABCD
+ ABC= BD + BC +ACD
October 7, 2020 18
Prime Implicants
• Consider F(A, B, C, D) = Σ(0, 2, 3, 5, 7, 8, 9, 10, 11, 13, 15)
– The simplified expression may not be unique
– F = BD+B'D'+CD+AD = BD+B'D'+CD+AB'
= BD+B'D'+B'C+AD = BD+B'D'+B'C+AB'
Four-Variable K-Maps
 == DCB(0,8)f  == DCB(5,13)f  == DBA(13,15)f  == DBA(4,6)f
 == CA(2,3,6,7)f  == DB)(4,6,12,14f  == CB)(2,3,10,11f  == DB(0,2,8,10)f
CD
00
00
01
01
11
11
10
10
AB
1 0 0 0
0 0 0 0
0 0 0 0
1 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
0 1 0 0
0 1 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
0 0 0 0
0 1 1 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 0 0 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
0 0 1 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 0 0 1
1 0 0 1
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
0 0 0 0
0 0 0 0
0 0 1 1
CD
00
00
01
01
11
11
10
10
AB
1 0 0 1
0 0 0 0
0 0 0 0
1 0 0 1
Four-Variable K-Maps
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 1 1 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 0
0 0 1 0
0 0 1 0
0 0 1 0
CD
00
00
01
01
11
11
10
10
AB
1 0 1 0
0 1 0 1
1 0 1 0
0 1 0 1
CD
00
00
01
01
11
11
10
10
AB
0 1 0 1
1 0 1 0
0 1 0 1
1 0 1 0
CD
00
00
01
01
11
11
10
10
AB
0 1 1 0
0 1 1 0
0 1 1 0
0 1 1 0
CD
00
00
01
01
11
11
10
10
AB
1 0 0 1
1 0 0 1
1 0 0 1
1 0 0 1
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 1 1 1
1 1 1 1
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
1 1 1 1
0 0 0 0
0 0 0 0
1 1 1 1
f (4,5,6,7) A B= =  f (3,7,11,15) C D= = 
f (0,3,5,6,9,10,12,15)=  f (1,2,4,7,8,11,13,14)= 
f A B C D=    f A B C D=   
f (1,3,5,7,9,11,13,15)=  f (0,2,4,6,8,10,12,14)=  f (4,5,6,7,12,13,14,15)=  f (0,1,2,3,8,9,10,11)= 
f D= f D= f B= f B=
October 7, 2020 21
Five-Variable Map
• Map for more than four variables becomes
complicated
– Five-variable map: two four-variable map (one on
the top of the other).
Figure 3.12 Five-variable Map
Tugas
• Rancanglah Rangkaian dengan menngunakan
K-MAP untuk persamaan Boolean F = Σ(0, 2, 3,
5, 7, 8, 9, 10, 11, 13, 15,25,26,29,30,31)
kemudian gunakan Multisim untuk
mensimulasikannya
October 7, 2020 22
Design of combinational digital circuits
• Steps to design a combinational digital circuit:
– From the problem statement derive the truth table
– From the truth table derive the unsimplified logic expression
– Simplify the logic expression
– From the simplified expression draw the logic circuit
• Example: Design a 3-input (A,B,C) digital circuit that will give at its output (X) a
logic 1 only if the binary number formed at the input has more ones than zeros.
BCABACX ++=
A B C
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
X
0
0
0
1
0
1
1
1
Inputs Output
0
1
2
3
4
5
6
7
BC
00
0
01
1
11 10A
0 0 1 0
0 1 1 1
A B C
X
= 7)6,5,(3,X
October 7, 2020 24
October 7, 2020 25
Example 3.7
• Example 3.7: simplify F = S(0, 2, 4, 6, 9, 13, 21,
23, 25, 29, 31)
F = A'B'E'+BD'E+ACE
October 7, 2020 26
Example 3.7 (cont.)
• Another Map for Example 3-7
Figure 3.13 Map for Example 3.7, F = A'B'E'+BD'E+ACE
October 7, 2020 27
Product of Sums Simplification
• Approach #1
– Simplified F' in the form of sum of products
– Apply DeMorgan's theorem F = (F')'
– F': sum of products → F: product of sums
• Approach #2: duality
– Combinations of maxterms (it was minterms)
– M0M1 = (A+B+C+D)(A+B+C+D') = (A+B+C)+(DD') = A+B+C
M0 M1 M3 M2
M4 M5 M7 M6
M12 M13 M15 M14
M8 M9 M11 M10
00
01
11
10
00 01 11 10AB
CD
October 7, 2020 28
Example 3.8
 Example 3.8: simplify F = S(0, 1, 2, 5, 8, 9, 10) into (a) sum-
of-products form, and (b) product-of-sums form:
Figure 3.14 Map for Example 3.8, F(A, B, C, D)= S(0, 1,
2, 5, 8, 9, 10) = B'D'+B'C'+A'C'D
a) F(A, B, C, D)= S(0, 1, 2, 5, 8,
9, 10) = B'D'+B'C'+A'C'D
b) F' = AB+CD+BD'
» Apply DeMorgan's theorem;
F=(A'+B')(C'+D')(B'+D)
» Or think in terms of maxterms
October 7, 2020 29
Example 3.8 (cont.)
• Gate implementation of the function of
Example 3.8
Figure 3.15 Gate Implementation of the Function of Example 3.8
Product-of sums formSum-of products form
October 7, 2020 30
Sum-of-Minterm Procedure
• Consider the function defined in Table 3.2.
– In sum-of-minterm:
– In sum-of-maxterm:
– Taking the complement of F
( , , ) (1,3,4,6)F x y z = 
( , , ) (0,2,5,7)F x y z = 
( , , ) ( )( )F x y z x z x z = + +
October 7, 2020 31
Sum-of-Minterm Procedure
• Consider the function defined in Table 3.2.
– Combine the 1’s:
– Combine the 0’s :
( , , )F x y z x z xz = +
( , , )F x y z xz x z = +
Figure 3.16 Map for the function of Table 3.2
'
October 7, 2020 32
Don't-Care Conditions
• The value of a function is not specified for certain
combinations of variables
– BCD; 1010-1111: don't care
• The don't-care conditions can be utilized in logic
minimization
– Can be implemented as 0 or 1
• Example 3.9: simplify F(w, x, y, z) = S(1, 3, 7, 11, 15)
which has the don't-care conditions d(w, x, y, z) =
S(0, 2, 5).
October 7, 2020 33
Example 3.9 (cont.)
– F = yz + w'x'; F = yz + w'z
– F = S(0, 1, 2, 3, 7, 11, 15) ; F = S(1, 3, 5, 7, 11, 15)
– Either expression is acceptable
Figure 3.17 Example with don't-care Conditions
October 7, 2020 34
NAND and NOR Implementation
• NAND gate is a universal gate
– Can implement any digital system
Figure 3.18 Logic Operations with NAND Gates
October 7, 2020 35
NAND Gate
• Two graphic symbols for a NAND gate
Figure 3.19 Two Graphic Symbols for NAND Gate
October 7, 2020 36
Two-level Implementation
• Two-level logic
– NAND-NAND = sum of products
– Example: F = AB+CD
– F = ((AB)' (CD)' )' =AB+CD
Figure 3.20 Three ways to implement F = AB + CD
October 7, 2020 37
Example 3.10
• Example 3-10: implement F(x, y, z) =
( , , ) (1,2,3,4,5,7)F x y z =  ( , , )F x y z xy x y z = + +
Figure 3.21 Solution to Example 3-10
October 7, 2020 38
Procedure with Two Levels NAND
• The procedure
– Simplified in the form of sum of products;
– A NAND gate for each product term; the inputs to each
NAND gate are the literals of the term (the first level);
– A single NAND gate for the second sum term (the second
level);
– A term with a single literal requires an inverter in the
first level.
October 7, 2020 39
Multilevel NAND Circuits
• Boolean function implementation
– AND-OR logic → NAND-NAND logic
• AND → AND + inverter
• OR: inverter + OR = NAND
• For every bubble that is not compensated by another small circle along
the same line, insert an inverter.
Figure 3.22 Implementing F = A(CD + B) + BC
October 7, 2020 40
NAND Implementation
Figure 3.23 Implementing F = (AB +AB)(C+ D)
October 7, 2020 41
NOR Implementation
• NOR function is the dual of NAND function.
• The NOR gate is also universal.
Figure 3.24 Logic Operation with NOR Gates
October 7, 2020 42
Two Graphic Symbols for a NOR Gate
Example: F = (A + B)(C + D)E
Figure 3.26 Implementing F = (A + B)(C + D)E
Figure 3.25 Two Graphic Symbols for NOR Gate
October 7, 2020 43
Example
Example: F = (AB +AB)(C + D)
Figure 3.27 Implementing F = (AB +AB)(C + D) with NOR gates
October 7, 2020 44
3-8 Other Two-level Implementations (
• Wired logic
– A wire connection between the outputs of two
gates
– Open-collector TTL NAND gates: wired-AND logic
– The NOR output of ECL gates: wired-OR logic( ) ( ) ( ) ( )( )
( ) ( ) [( )( )]
F AB CD AB CD A B C D
F A B C D A B C D
      =  = + = + +
  = + + + = + +
AND-OR-INVERT function
OR-AND-INVERT function
Figure 3.28 Wired Logic
October 7, 2020 45
Non-degenerate Forms
• 16 possible combinations of two-level forms
– Eight of them: degenerate forms = a single
operation
• AND-AND, AND-NAND, OR-OR, OR-NOR, NAND-OR, NAND-NOR, NOR-
AND, NOR-NAND.
– The eight non-degenerate forms
• AND-OR, OR-AND, NAND-NAND, NOR-NOR, NOR-OR, NAND-AND, OR-
NAND, AND-NOR.
• AND-OR and NAND-NAND = sum of products.
• OR-AND and NOR-NOR = product of sums.
• NOR-OR, NAND-AND, OR-NAND, AND-NOR = ?
October 7, 2020 46
AND-OR-Invert Implementation
• AND-OR-INVERT (AOI) Implementation
– NAND-AND = AND-NOR = AOI
– F = (AB+CD+E)'
– F' = AB+CD+E (sum of products)
Figure 3.29 AND-OR-INVERT circuits, F = (AB +CD +E)
October 7, 2020 47
OR-AND-Invert Implementation
• OR-AND-INVERT (OAI) Implementation
– OR-NAND = NOR-OR = OAI
– F = ((A+B)(C+D)E)'
– F' = (A+B)(C+D)E (product of sums)
Figure 3.30 OR-AND-INVERT circuits, F = ((A+B)(C+D)E)'
October 7, 2020 48
Tabular Summary and Examples
• Example 3-11: F = x'y'z'+xyz'
– F' = x'y+xy'+z (F': sum of products)
– F = (x'y+xy'+z)' (F: AOI
implementation)
– F = x'y'z' + xyz' (F: sum of products)
– F' = (x+y+z)(x'+y'+z) (F': product of sums)
– F = ((x+y+z)(x'+y'+z))' (F: OAI)
October 7, 2020 49
Tabular Summary and Examples
October 7, 2020 50
Figure 3.31 Other Two-level Implementations
October 7, 2020 51
3-9 Exclusive-OR Function
• Exclusive-OR (XOR)
– xy = xy'+x'y
• Exclusive-NOR (XNOR)
– (xy)' = xy + x'y'
• Some identities
– x0 = x
– x1 = x'
– xx = 0
– xx' = 1
– xy' = (xy)'
– x'y = (xy)'
• Commutative and associative
– AB = BA
– (AB) C = A (BC) = ABC
October 7, 2020 52
Exclusive-OR Implementations
• Implementations
– (x'+y')x + (x'+y')y = xy'+x'y = xy
Figure 3.32 Exclusive-OR Implementations
October 7, 2020 53
Odd Function
– ABC = (AB'+A'B)C' +(AB+A'B')C =
AB'C'+A'BC'+ABC+A'B'C = S(1, 2, 4, 7)
– XOR is a odd function → an odd number of 1's,
then F = 1.
– XNOR is a even function → an even number of
1's, then F = 1.
Figure 3.33 Map for a Three-variable Exclusive-OR Function
October 7, 2020 54
XOR and XNOR
• Logic diagram of odd and even functions
Figure 3.34 Logic Diagram of Odd and Even Functions
October 7, 2020 55
Four-variable Exclusive-OR function
• Four-variable Exclusive-OR function
– ABCD = (AB'+A'B)(CD'+C'D) =
(AB'+A'B)(CD+C'D')+(AB+A'B')(CD'+C'D)
Figure 3.35 Map for a Four-variable Exclusive-OR Function
October 7, 2020 56
Parity Generation and Checking
• Parity Generation and Checking
– A parity bit: P = xyz
– Parity check: C = xyzP
• C=1: one bit error or an odd number of data bit error
• C=0: correct or an even # of data bit error
Figure 3.36 Logic Diagram of a Parity Generator and Checker
October 7, 2020 57
Parity Generation and Checking
October 7, 2020 58
Parity Generation and Checking
Exercise
• Let us use Karnaugh map to simplify the follow function.
F1 = m0+m2+m3+m4+m5+m6+m7
F2 = m0+m1+m2+m5+m7
• Answer
Exercise
A B C Y
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 1
Given the truth table, find the simplified SOP and POS form.
Exercise
• Design two-level NAND-gate logic circuit from the follow
timing diagram.
A
B
C
D
F
Don’t care term
X
X 1
X X
X X
AB
CD 00 01 11 10
00
01
11
10
AD
Exercise
• Design logic circuit that convert a 4-bits binary code to Excess-3 code
A B C D W X Y Z
0 0 0 0 0 0 1 1
0 0 0 1 0 1 0 0
0 0 1 0 0 1 0 1
0 0 1 1 0 1 1 0
0 1 0 0 0 1 1 1
0 1 0 1 1 0 0 0
0 1 1 0 1 0 0 1
0 1 1 1 1 0 1 0
1 0 0 0 1 0 1 1
1 0 0 1 1 1 0 0
1 0 1 0 x x x x
1 0 1 1 x x x x
1 1 0 0 x x x x
1 1 0 1 x x x x
1
1
1
1
1
1
0
1
x
X
X
X
X
x
X
x
Design of combinational digital circuits (Cont.)
• Example: Design a 4-input (A,B,C,D) digital circuit that will give at its output
(X) a logic 1 only if the binary number formed at the input is between 2 and 9
(including).
CBABACAX ++=
A B C
X
= ,7,8,9)(2,3,4,5,6XA B C
0
0
0
0
0
1
X
0
0
Inputs Output
0
1
D
0
0
0 0 0 12 1
0 0 1 13 1
0 1 0 14 0
0 1 1 15 0
0 1 0 16 1
0 1 1 17 1
1 0 0 18 0
1 0 1 19 0
1 0 0 010 1
1 0 1 011 1
1 1 0 012 0
1 1 1 013 0
1 1 0 014 1
1 1 1 015 1 D
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
1 1 1 1
0 0 0 0
1 1 0 0
X
Same
Design of combinational digital circuits (Example)
• Example: Design a 4-input (A,B,C,D) digital circuit that will give at its output (X)
a logic 1 only if there more ones than zeros in the binary number formed at the
input.
A B C
A B C
0
0
0
0
0
1
Inputs
0
1
D
0
0
0 0 02 1
0 0 13 1
0 1 04 0
0 1 15 0
0 1 06 1
0 1 17 1
1 0 08 0
1 0 19 0
1 0 010 1
1 0 111 1
1 1 012 0
1 1 113 0
1 1 014 1
1 1 115 1 D
CD
00
00
01
01
11
11
10
10
AB
X X
Output
X =
X =

More Related Content

What's hot

CS 354 Bezier Curves
CS 354 Bezier Curves CS 354 Bezier Curves
CS 354 Bezier Curves Mark Kilgard
 
River Valley Emath Paper 1_solutions_printed
River Valley Emath Paper 1_solutions_printedRiver Valley Emath Paper 1_solutions_printed
River Valley Emath Paper 1_solutions_printedFelicia Shirui
 
Output primitives computer graphics c version
Output primitives   computer graphics c versionOutput primitives   computer graphics c version
Output primitives computer graphics c versionMarwa Al-Rikaby
 
H 2016 2018
H 2016   2018H 2016   2018
H 2016 2018sjamaths
 
Massively distributed environments and closed itemset mining
Massively distributed environments and closed itemset miningMassively distributed environments and closed itemset mining
Massively distributed environments and closed itemset miningMehdi Zitouni
 
H 2008 2011
H 2008   2011H 2008   2011
H 2008 2011sjamaths
 
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...Hareem Aslam
 

What's hot (20)

CS 354 Bezier Curves
CS 354 Bezier Curves CS 354 Bezier Curves
CS 354 Bezier Curves
 
F4 Answer
F4 AnswerF4 Answer
F4 Answer
 
Module 2
Module 2Module 2
Module 2
 
River Valley Emath Paper 1_solutions_printed
River Valley Emath Paper 1_solutions_printedRiver Valley Emath Paper 1_solutions_printed
River Valley Emath Paper 1_solutions_printed
 
K map
K mapK map
K map
 
Rumus matematik examonline spa
Rumus matematik examonline spaRumus matematik examonline spa
Rumus matematik examonline spa
 
KMAP
KMAPKMAP
KMAP
 
Output primitives computer graphics c version
Output primitives   computer graphics c versionOutput primitives   computer graphics c version
Output primitives computer graphics c version
 
Computer graphics 2
Computer graphics 2Computer graphics 2
Computer graphics 2
 
Chapter 14
Chapter 14Chapter 14
Chapter 14
 
H 2016 2018
H 2016   2018H 2016   2018
H 2016 2018
 
Massively distributed environments and closed itemset mining
Massively distributed environments and closed itemset miningMassively distributed environments and closed itemset mining
Massively distributed environments and closed itemset mining
 
H 2008 2011
H 2008   2011H 2008   2011
H 2008 2011
 
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...
Solution Manual : Chapter - 07 Exponential, Logarithmic and Inverse Trigonome...
 
Co ordinate geometry
Co ordinate geometryCo ordinate geometry
Co ordinate geometry
 
Função afim resumo teórico e exercícios - celso brasil
Função afim   resumo teórico e exercícios - celso brasilFunção afim   resumo teórico e exercícios - celso brasil
Função afim resumo teórico e exercícios - celso brasil
 
INVERSE FUNCTION
INVERSE FUNCTIONINVERSE FUNCTION
INVERSE FUNCTION
 
12th mcq
12th mcq12th mcq
12th mcq
 
3D Geometry QA 5
3D Geometry QA 53D Geometry QA 5
3D Geometry QA 5
 
Chapter 3 2
Chapter 3 2Chapter 3 2
Chapter 3 2
 

Similar to K map

Chapter_3_Gate-Level_Minimization.ppt
Chapter_3_Gate-Level_Minimization.pptChapter_3_Gate-Level_Minimization.ppt
Chapter_3_Gate-Level_Minimization.pptMdTahsinAmin2
 
Module 2 logic gates
Module 2  logic gatesModule 2  logic gates
Module 2 logic gatesDeepak John
 
Assignment3 solution 3rd_edition
Assignment3 solution 3rd_editionAssignment3 solution 3rd_edition
Assignment3 solution 3rd_editionMysore
 
DLD BOOLEAN EXPRESSIONS
DLD BOOLEAN EXPRESSIONSDLD BOOLEAN EXPRESSIONS
DLD BOOLEAN EXPRESSIONSnaresh414857
 
KARNAUGH MAP(K-MAP)
KARNAUGH MAP(K-MAP)KARNAUGH MAP(K-MAP)
KARNAUGH MAP(K-MAP)mihir jain
 
Digital electronics k map comparators and their function
Digital electronics k map comparators and their functionDigital electronics k map comparators and their function
Digital electronics k map comparators and their functionkumarankit06875
 
Spm add math 2009 paper 1extra222
Spm add math 2009 paper 1extra222Spm add math 2009 paper 1extra222
Spm add math 2009 paper 1extra222Saripah Ahmad Mozac
 
SMU BCA SUMMER 2014 ASSIGNMENTS
SMU BCA SUMMER 2014 ASSIGNMENTSSMU BCA SUMMER 2014 ASSIGNMENTS
SMU BCA SUMMER 2014 ASSIGNMENTSsolved_assignments
 
Notes and-formulae-mathematics
Notes and-formulae-mathematicsNotes and-formulae-mathematics
Notes and-formulae-mathematicsAh Ching
 
Notes and Formulae Mathematics SPM
Notes and Formulae Mathematics SPM Notes and Formulae Mathematics SPM
Notes and Formulae Mathematics SPM Zhang Ewe
 
Obj. 7 Midpoint and Distance Formulas
Obj. 7 Midpoint and Distance FormulasObj. 7 Midpoint and Distance Formulas
Obj. 7 Midpoint and Distance Formulassmiller5
 
Module 2 plane coordinate geometry
Module  2   plane coordinate geometryModule  2   plane coordinate geometry
Module 2 plane coordinate geometrydionesioable
 

Similar to K map (20)

Chapter_3_Gate-Level_Minimization.ppt
Chapter_3_Gate-Level_Minimization.pptChapter_3_Gate-Level_Minimization.ppt
Chapter_3_Gate-Level_Minimization.ppt
 
Module 2 logic gates
Module 2  logic gatesModule 2  logic gates
Module 2 logic gates
 
kmaps
 kmaps kmaps
kmaps
 
Kmaps.ppt
Kmaps.pptKmaps.ppt
Kmaps.ppt
 
Kmaps.ppt
Kmaps.pptKmaps.ppt
Kmaps.ppt
 
Kmaps.ppt
Kmaps.pptKmaps.ppt
Kmaps.ppt
 
Assignment3 solution 3rd_edition
Assignment3 solution 3rd_editionAssignment3 solution 3rd_edition
Assignment3 solution 3rd_edition
 
DLD BOOLEAN EXPRESSIONS
DLD BOOLEAN EXPRESSIONSDLD BOOLEAN EXPRESSIONS
DLD BOOLEAN EXPRESSIONS
 
3.Prime Implicant.ppt
3.Prime Implicant.ppt3.Prime Implicant.ppt
3.Prime Implicant.ppt
 
KARNAUGH MAP(K-MAP)
KARNAUGH MAP(K-MAP)KARNAUGH MAP(K-MAP)
KARNAUGH MAP(K-MAP)
 
Digital electronics k map comparators and their function
Digital electronics k map comparators and their functionDigital electronics k map comparators and their function
Digital electronics k map comparators and their function
 
Spm add math 2009 paper 1extra222
Spm add math 2009 paper 1extra222Spm add math 2009 paper 1extra222
Spm add math 2009 paper 1extra222
 
SMU BCA SUMMER 2014 ASSIGNMENTS
SMU BCA SUMMER 2014 ASSIGNMENTSSMU BCA SUMMER 2014 ASSIGNMENTS
SMU BCA SUMMER 2014 ASSIGNMENTS
 
Notes and-formulae-mathematics
Notes and-formulae-mathematicsNotes and-formulae-mathematics
Notes and-formulae-mathematics
 
Mathematics formulas
Mathematics formulasMathematics formulas
Mathematics formulas
 
Notes and Formulae Mathematics SPM
Notes and Formulae Mathematics SPM Notes and Formulae Mathematics SPM
Notes and Formulae Mathematics SPM
 
Obj. 7 Midpoint and Distance Formulas
Obj. 7 Midpoint and Distance FormulasObj. 7 Midpoint and Distance Formulas
Obj. 7 Midpoint and Distance Formulas
 
Lecture 6
Lecture 6Lecture 6
Lecture 6
 
Module 2 plane coordinate geometry
Module  2   plane coordinate geometryModule  2   plane coordinate geometry
Module 2 plane coordinate geometry
 
Chapter-3.ppt
Chapter-3.pptChapter-3.ppt
Chapter-3.ppt
 

Recently uploaded

Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 

Recently uploaded (20)

Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 

K map

  • 1. Pertemuan 4 Digital Logic Design K. MAP October 7, 2020 PNJ 1
  • 2. October 7, 2020 2 Introduction • Untuk perancangan Rangkaian Kombinatorial perlu dilakukan Minimisasi penggunaan gerbang. • Gate-level minimization refers to the design task of finding an optimal gate-level implementation of Boolean functions describing a digital circuit.
  • 3. October 7, 2020 3 Review of Boolean Function • Boolean function –Sum of minterms –Sum of products (or product of sum) in the simplest form –A minimum number of terms –The simplified expression may not be unique
  • 4. What are Karnaugh1 maps? • Karnaugh maps provide an alternative way of simplifying logic circuits. • Instead of using Boolean algebra simplification techniques, you can transfer logic values from a Boolean statement or a truth table into a Karnaugh map. • The arrangement of 0's and 1's within the map helps you to visualise the logic relationships between the variables and leads directly to a simplified Boolean statement. 1Named for the American electrical engineer Maurice Karnaugh.
  • 5. October 7, 2020 5 The Map Method • The complexity of the digital logic gates – The complexity of the algebraic expression • Logic minimization – Algebraic approaches: lack specific rules – The Karnaugh map • A simple straight forward procedure • A pictorial form of a truth table • Applicable if the # of variables < 7 • A diagram made up of squares – Each square represents one minterm
  • 6. October 7, 2020 6 Two-Variable Map  A two-variable map  Four minterms  x' = row 0; x = row 1  y' = column 0; y = column 1  A truth table in square diagram  Fig. 3.2(a): xy = m3  Fig. 3.2(b): x+y = x'y+xy' +xy = m1+m2+m3 Figure 3.2 Representation of functions in the map Figure 3.1 Two-variable Map
  • 7. October 7, 2020 7 A Three-variable Map • A three-variable map – Eight minterms – The Gray code sequence – Any two adjacent squares in the map differ by only on variable Figure 3.3 Three-variable Map
  • 8. October 7, 2020 8 Example 3.1 • Example 3.1: simplify the Boolean function F(x, y, z) = S(2, 3, 4, 5) – F(x, y, z) = S(2, 3, 4, 5) = x'y + xy' Figure 3.4 Map for Example 3.1, F(x, y, z) = Σ(2, 3, 4, 5) = x'y + xy'
  • 9. October 7, 2020 9 Example 3.2 • Example 3.2: simplify F(x, y, z) = S(3, 4, 6, 7) – F(x, y, z) = S(3, 4, 6, 7) = yz+ xz' Figure 3.5 Map for Example 3-2; F(x, y, z) = Σ(3, 4, 6, 7) = yz + xz'
  • 10. October 7, 2020 10 Four adjacent Squares • Consider four adjacent squares – 2, 4, and 8 squares – m0+m2+m4+m6 = x'y'z'+x'yz'+xy'z'+xyz' = x'z'(y'+y) +xz'(y'+y) = x'z' + xz‘ = z' – m1+m3+m5+m7 = x'y'z+x'yz+xy'z+xyz =x'z(y'+y) + xz(y'+y) =x'z + xz = z Figure 3.3 Three-variable Map
  • 11. October 7, 2020 11 Example 3.3  Example 3.3: simplify F(x, y, z) = S(0, 2, 4, 5, 6) – F(x, y, z) = S(0, 2, 4, 5, 6) = z'+ xy' Figure 3.6 Map for Example 3-3, F(x, y, z) = Σ(0, 2, 4, 5, 6) = z' +xy'
  • 13. October 7, 2020 13 Example 3.4 • Example 3.4: let F = A'C + A'B + AB'C + BC a) Express it in sum of minterms. b) Find the minimal sum of products expression. Ans: F(A, B, C) = S(1, 2, 3, 5, 7) = C + A'B Figure 3.7 Map for Example 3.4, A'C + A'B + AB'C + BC = C + A'B
  • 14. Three-Variable K-Maps  == CB(0,4)f  == BA(4,5)f  == B(0,1,4,5)f  == A(0,1,2,3)f BC 00 0 01 1 11 10A 1 0 0 0 1 0 0 0 BC 00 0 01 1 11 10A 0 0 0 0 1 1 0 0 BC 00 0 01 1 11 10A 1 1 1 1 0 0 0 0 BC 00 0 01 1 11 10A 1 1 0 0 1 1 0 0  == CA(0,4)f  == CA(4,6)f  == CA(0,2)f  == C(0,2,4,6)f BC 00 0 01 1 11 10A 0 1 1 0 0 0 0 0 BC 00 0 01 1 11 10A 0 0 0 0 1 0 0 1 BC 00 0 01 1 11 10A 1 0 0 1 1 0 0 1 BC 00 0 01 1 11 10A 1 0 0 1 0 0 0 0
  • 15. October 7, 2020 15 3.3 Four-Variable Map • The map – 16 minterms – Combinations of 2, 4, 8, and 16 adjacent squares Figure 3.8 Four-variable Map
  • 16. October 7, 2020 16 Example 3.5 • Example 3.5: simplify F(w, x, y, z) = S(0, 1, 2, 4, 5, 6, 8, 9, 12, 13, 14) F = y'+w'z'+xz' Figure 3.9 Map for Example 3-5; F(w, x, y, z) = Σ(0, 1, 2, 4, 5, 6, 8, 9, 12, 13, 14) = y' + w' z' +xz'
  • 17. October 7, 2020 17 Example 3.6 • Example 3-6: simplify F = ABC + BCD + ABCD + ABC Figure 3.9 Map for Example 3-6; ABC + BCD + ABCD + ABC= BD + BC +ACD
  • 18. October 7, 2020 18 Prime Implicants • Consider F(A, B, C, D) = Σ(0, 2, 3, 5, 7, 8, 9, 10, 11, 13, 15) – The simplified expression may not be unique – F = BD+B'D'+CD+AD = BD+B'D'+CD+AB' = BD+B'D'+B'C+AD = BD+B'D'+B'C+AB'
  • 19. Four-Variable K-Maps  == DCB(0,8)f  == DCB(5,13)f  == DBA(13,15)f  == DBA(4,6)f  == CA(2,3,6,7)f  == DB)(4,6,12,14f  == CB)(2,3,10,11f  == DB(0,2,8,10)f CD 00 00 01 01 11 11 10 10 AB 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 1 CD 00 00 01 01 11 11 10 10 AB 1 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1
  • 20. Four-Variable K-Maps CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 CD 00 00 01 01 11 11 10 10 AB 1 0 1 0 0 1 0 1 1 0 1 0 0 1 0 1 CD 00 00 01 01 11 11 10 10 AB 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 0 CD 00 00 01 01 11 11 10 10 AB 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 CD 00 00 01 01 11 11 10 10 AB 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 f (4,5,6,7) A B= =  f (3,7,11,15) C D= =  f (0,3,5,6,9,10,12,15)=  f (1,2,4,7,8,11,13,14)=  f A B C D=    f A B C D=    f (1,3,5,7,9,11,13,15)=  f (0,2,4,6,8,10,12,14)=  f (4,5,6,7,12,13,14,15)=  f (0,1,2,3,8,9,10,11)=  f D= f D= f B= f B=
  • 21. October 7, 2020 21 Five-Variable Map • Map for more than four variables becomes complicated – Five-variable map: two four-variable map (one on the top of the other). Figure 3.12 Five-variable Map
  • 22. Tugas • Rancanglah Rangkaian dengan menngunakan K-MAP untuk persamaan Boolean F = Σ(0, 2, 3, 5, 7, 8, 9, 10, 11, 13, 15,25,26,29,30,31) kemudian gunakan Multisim untuk mensimulasikannya October 7, 2020 22
  • 23. Design of combinational digital circuits • Steps to design a combinational digital circuit: – From the problem statement derive the truth table – From the truth table derive the unsimplified logic expression – Simplify the logic expression – From the simplified expression draw the logic circuit • Example: Design a 3-input (A,B,C) digital circuit that will give at its output (X) a logic 1 only if the binary number formed at the input has more ones than zeros. BCABACX ++= A B C 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 X 0 0 0 1 0 1 1 1 Inputs Output 0 1 2 3 4 5 6 7 BC 00 0 01 1 11 10A 0 0 1 0 0 1 1 1 A B C X = 7)6,5,(3,X
  • 25. October 7, 2020 25 Example 3.7 • Example 3.7: simplify F = S(0, 2, 4, 6, 9, 13, 21, 23, 25, 29, 31) F = A'B'E'+BD'E+ACE
  • 26. October 7, 2020 26 Example 3.7 (cont.) • Another Map for Example 3-7 Figure 3.13 Map for Example 3.7, F = A'B'E'+BD'E+ACE
  • 27. October 7, 2020 27 Product of Sums Simplification • Approach #1 – Simplified F' in the form of sum of products – Apply DeMorgan's theorem F = (F')' – F': sum of products → F: product of sums • Approach #2: duality – Combinations of maxterms (it was minterms) – M0M1 = (A+B+C+D)(A+B+C+D') = (A+B+C)+(DD') = A+B+C M0 M1 M3 M2 M4 M5 M7 M6 M12 M13 M15 M14 M8 M9 M11 M10 00 01 11 10 00 01 11 10AB CD
  • 28. October 7, 2020 28 Example 3.8  Example 3.8: simplify F = S(0, 1, 2, 5, 8, 9, 10) into (a) sum- of-products form, and (b) product-of-sums form: Figure 3.14 Map for Example 3.8, F(A, B, C, D)= S(0, 1, 2, 5, 8, 9, 10) = B'D'+B'C'+A'C'D a) F(A, B, C, D)= S(0, 1, 2, 5, 8, 9, 10) = B'D'+B'C'+A'C'D b) F' = AB+CD+BD' » Apply DeMorgan's theorem; F=(A'+B')(C'+D')(B'+D) » Or think in terms of maxterms
  • 29. October 7, 2020 29 Example 3.8 (cont.) • Gate implementation of the function of Example 3.8 Figure 3.15 Gate Implementation of the Function of Example 3.8 Product-of sums formSum-of products form
  • 30. October 7, 2020 30 Sum-of-Minterm Procedure • Consider the function defined in Table 3.2. – In sum-of-minterm: – In sum-of-maxterm: – Taking the complement of F ( , , ) (1,3,4,6)F x y z =  ( , , ) (0,2,5,7)F x y z =  ( , , ) ( )( )F x y z x z x z = + +
  • 31. October 7, 2020 31 Sum-of-Minterm Procedure • Consider the function defined in Table 3.2. – Combine the 1’s: – Combine the 0’s : ( , , )F x y z x z xz = + ( , , )F x y z xz x z = + Figure 3.16 Map for the function of Table 3.2 '
  • 32. October 7, 2020 32 Don't-Care Conditions • The value of a function is not specified for certain combinations of variables – BCD; 1010-1111: don't care • The don't-care conditions can be utilized in logic minimization – Can be implemented as 0 or 1 • Example 3.9: simplify F(w, x, y, z) = S(1, 3, 7, 11, 15) which has the don't-care conditions d(w, x, y, z) = S(0, 2, 5).
  • 33. October 7, 2020 33 Example 3.9 (cont.) – F = yz + w'x'; F = yz + w'z – F = S(0, 1, 2, 3, 7, 11, 15) ; F = S(1, 3, 5, 7, 11, 15) – Either expression is acceptable Figure 3.17 Example with don't-care Conditions
  • 34. October 7, 2020 34 NAND and NOR Implementation • NAND gate is a universal gate – Can implement any digital system Figure 3.18 Logic Operations with NAND Gates
  • 35. October 7, 2020 35 NAND Gate • Two graphic symbols for a NAND gate Figure 3.19 Two Graphic Symbols for NAND Gate
  • 36. October 7, 2020 36 Two-level Implementation • Two-level logic – NAND-NAND = sum of products – Example: F = AB+CD – F = ((AB)' (CD)' )' =AB+CD Figure 3.20 Three ways to implement F = AB + CD
  • 37. October 7, 2020 37 Example 3.10 • Example 3-10: implement F(x, y, z) = ( , , ) (1,2,3,4,5,7)F x y z =  ( , , )F x y z xy x y z = + + Figure 3.21 Solution to Example 3-10
  • 38. October 7, 2020 38 Procedure with Two Levels NAND • The procedure – Simplified in the form of sum of products; – A NAND gate for each product term; the inputs to each NAND gate are the literals of the term (the first level); – A single NAND gate for the second sum term (the second level); – A term with a single literal requires an inverter in the first level.
  • 39. October 7, 2020 39 Multilevel NAND Circuits • Boolean function implementation – AND-OR logic → NAND-NAND logic • AND → AND + inverter • OR: inverter + OR = NAND • For every bubble that is not compensated by another small circle along the same line, insert an inverter. Figure 3.22 Implementing F = A(CD + B) + BC
  • 40. October 7, 2020 40 NAND Implementation Figure 3.23 Implementing F = (AB +AB)(C+ D)
  • 41. October 7, 2020 41 NOR Implementation • NOR function is the dual of NAND function. • The NOR gate is also universal. Figure 3.24 Logic Operation with NOR Gates
  • 42. October 7, 2020 42 Two Graphic Symbols for a NOR Gate Example: F = (A + B)(C + D)E Figure 3.26 Implementing F = (A + B)(C + D)E Figure 3.25 Two Graphic Symbols for NOR Gate
  • 43. October 7, 2020 43 Example Example: F = (AB +AB)(C + D) Figure 3.27 Implementing F = (AB +AB)(C + D) with NOR gates
  • 44. October 7, 2020 44 3-8 Other Two-level Implementations ( • Wired logic – A wire connection between the outputs of two gates – Open-collector TTL NAND gates: wired-AND logic – The NOR output of ECL gates: wired-OR logic( ) ( ) ( ) ( )( ) ( ) ( ) [( )( )] F AB CD AB CD A B C D F A B C D A B C D       =  = + = + +   = + + + = + + AND-OR-INVERT function OR-AND-INVERT function Figure 3.28 Wired Logic
  • 45. October 7, 2020 45 Non-degenerate Forms • 16 possible combinations of two-level forms – Eight of them: degenerate forms = a single operation • AND-AND, AND-NAND, OR-OR, OR-NOR, NAND-OR, NAND-NOR, NOR- AND, NOR-NAND. – The eight non-degenerate forms • AND-OR, OR-AND, NAND-NAND, NOR-NOR, NOR-OR, NAND-AND, OR- NAND, AND-NOR. • AND-OR and NAND-NAND = sum of products. • OR-AND and NOR-NOR = product of sums. • NOR-OR, NAND-AND, OR-NAND, AND-NOR = ?
  • 46. October 7, 2020 46 AND-OR-Invert Implementation • AND-OR-INVERT (AOI) Implementation – NAND-AND = AND-NOR = AOI – F = (AB+CD+E)' – F' = AB+CD+E (sum of products) Figure 3.29 AND-OR-INVERT circuits, F = (AB +CD +E)
  • 47. October 7, 2020 47 OR-AND-Invert Implementation • OR-AND-INVERT (OAI) Implementation – OR-NAND = NOR-OR = OAI – F = ((A+B)(C+D)E)' – F' = (A+B)(C+D)E (product of sums) Figure 3.30 OR-AND-INVERT circuits, F = ((A+B)(C+D)E)'
  • 48. October 7, 2020 48 Tabular Summary and Examples • Example 3-11: F = x'y'z'+xyz' – F' = x'y+xy'+z (F': sum of products) – F = (x'y+xy'+z)' (F: AOI implementation) – F = x'y'z' + xyz' (F: sum of products) – F' = (x+y+z)(x'+y'+z) (F': product of sums) – F = ((x+y+z)(x'+y'+z))' (F: OAI)
  • 49. October 7, 2020 49 Tabular Summary and Examples
  • 50. October 7, 2020 50 Figure 3.31 Other Two-level Implementations
  • 51. October 7, 2020 51 3-9 Exclusive-OR Function • Exclusive-OR (XOR) – xy = xy'+x'y • Exclusive-NOR (XNOR) – (xy)' = xy + x'y' • Some identities – x0 = x – x1 = x' – xx = 0 – xx' = 1 – xy' = (xy)' – x'y = (xy)' • Commutative and associative – AB = BA – (AB) C = A (BC) = ABC
  • 52. October 7, 2020 52 Exclusive-OR Implementations • Implementations – (x'+y')x + (x'+y')y = xy'+x'y = xy Figure 3.32 Exclusive-OR Implementations
  • 53. October 7, 2020 53 Odd Function – ABC = (AB'+A'B)C' +(AB+A'B')C = AB'C'+A'BC'+ABC+A'B'C = S(1, 2, 4, 7) – XOR is a odd function → an odd number of 1's, then F = 1. – XNOR is a even function → an even number of 1's, then F = 1. Figure 3.33 Map for a Three-variable Exclusive-OR Function
  • 54. October 7, 2020 54 XOR and XNOR • Logic diagram of odd and even functions Figure 3.34 Logic Diagram of Odd and Even Functions
  • 55. October 7, 2020 55 Four-variable Exclusive-OR function • Four-variable Exclusive-OR function – ABCD = (AB'+A'B)(CD'+C'D) = (AB'+A'B)(CD+C'D')+(AB+A'B')(CD'+C'D) Figure 3.35 Map for a Four-variable Exclusive-OR Function
  • 56. October 7, 2020 56 Parity Generation and Checking • Parity Generation and Checking – A parity bit: P = xyz – Parity check: C = xyzP • C=1: one bit error or an odd number of data bit error • C=0: correct or an even # of data bit error Figure 3.36 Logic Diagram of a Parity Generator and Checker
  • 57. October 7, 2020 57 Parity Generation and Checking
  • 58. October 7, 2020 58 Parity Generation and Checking
  • 59. Exercise • Let us use Karnaugh map to simplify the follow function. F1 = m0+m2+m3+m4+m5+m6+m7 F2 = m0+m1+m2+m5+m7 • Answer
  • 60. Exercise A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 Given the truth table, find the simplified SOP and POS form.
  • 61. Exercise • Design two-level NAND-gate logic circuit from the follow timing diagram. A B C D F
  • 62. Don’t care term X X 1 X X X X AB CD 00 01 11 10 00 01 11 10 AD
  • 63. Exercise • Design logic circuit that convert a 4-bits binary code to Excess-3 code A B C D W X Y Z 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 1 0 1 0 1 0 0 0 1 0 1 1 1 0 0 1 1 1 0 0 1 0 1 0 x x x x 1 0 1 1 x x x x 1 1 0 0 x x x x 1 1 0 1 x x x x 1 1 1 1 1 1 0 1 x X X X X x X x
  • 64. Design of combinational digital circuits (Cont.) • Example: Design a 4-input (A,B,C,D) digital circuit that will give at its output (X) a logic 1 only if the binary number formed at the input is between 2 and 9 (including). CBABACAX ++= A B C X = ,7,8,9)(2,3,4,5,6XA B C 0 0 0 0 0 1 X 0 0 Inputs Output 0 1 D 0 0 0 0 0 12 1 0 0 1 13 1 0 1 0 14 0 0 1 1 15 0 0 1 0 16 1 0 1 1 17 1 1 0 0 18 0 1 0 1 19 0 1 0 0 010 1 1 0 1 011 1 1 1 0 012 0 1 1 1 013 0 1 1 0 014 1 1 1 1 015 1 D CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 1 1 1 1 0 0 0 0 1 1 0 0 X Same
  • 65. Design of combinational digital circuits (Example) • Example: Design a 4-input (A,B,C,D) digital circuit that will give at its output (X) a logic 1 only if there more ones than zeros in the binary number formed at the input. A B C A B C 0 0 0 0 0 1 Inputs 0 1 D 0 0 0 0 02 1 0 0 13 1 0 1 04 0 0 1 15 0 0 1 06 1 0 1 17 1 1 0 08 0 1 0 19 0 1 0 010 1 1 0 111 1 1 1 012 0 1 1 113 0 1 1 014 1 1 1 115 1 D CD 00 00 01 01 11 11 10 10 AB X X Output X = X =