6. SoC platforms comparison
NVIDIA Marvell
TI OMAP 4 Apple A4 Qualcomm
Tegra 2 Armada 500
Architecture Cortex-A9 ARMv11 Cortex-A8 SnapDragon XScale
Core
2 2 1 2 1
number
Frequency 1-1.5 GHz 1 GHz 0.8-1 GHz 0.8-1.5 GHz 1-1.25 GHz
USB, SATA, USB 2.0,
Controllers USB, SATA Proprietary SATA
HDMI SATA, PCI-E
RIM Samsung
HTC Inspire, Quanta
Utilizing BlackBerry Galaxy Tab, iPad, iPhone
HP Touch- Netbook
Devices Playbook, LG LG Optimus 4, Apple TV
Pad (concept)
Optimus 3D Pad
8. What is unique about ARM
architectures?
ARM-based chips can be
found not only inside cell
phones and tablet PC, but
also set-top-boxes and game
consoles.
The main reasons of ARM
popularity are:
• relatively low cost
• high performance
• low power consumption.
9. SoC and FPGA
FPGA is an integrated
circuit designed to be
configured by the
customer or designer
after manufacturing.
11. VHDL Example
-- (this is a VHDL comment)
-- import std_logic from the IEEE library
library IEEE;
use IEEE.std_logic_1164.all;
-- this is the entity
entity ANDGATE is
port (
I1 : in std_logic;
I2 : in std_logic;
O : out std_logic);
end entity ANDGATE;
architecture RTL of ANDGATE is
begin
O <= I1 and I2;
end architecture RTL;