SlideShare a Scribd company logo
1 of 44
EE466: VLSI
Design
Lecture 13:
Adders
CMOS VLSI Design
11: Adders Slide 2
Outline
 Single-bit Addition
 Carry-Ripple Adder
 Carry-Skip Adder
 Carry-Lookahead Adder
 Carry-Select Adder
 Carry-Increment Adder
 Tree Adder
CMOS VLSI Design
11: Adders Slide 3
Single-Bit Addition
Half Adder Full Adder
A B Cout S
0 0
0 1
1 0
1 1
A B C Cout S
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
A B
S
Cout
A B
C
S
Cout
out
S
C

 out
S
C


CMOS VLSI Design
11: Adders Slide 4
Single-Bit Addition
Half Adder Full Adder
A B Cout S
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
A B C Cout S
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
A B
S
Cout
A B
C
S
Cout
out
S A B
C A B
 
 out ( , , )
S A B C
C MAJ A B C
  

CMOS VLSI Design
11: Adders Slide 5
PGK
 For a full adder, define what happens to carries
– Generate: Cout = 1 independent of C
• G =
– Propagate: Cout = C
• P =
– Kill: Cout = 0 independent of C
• K =
CMOS VLSI Design
11: Adders Slide 6
PGK
 For a full adder, define what happens to carries
– Generate: Cout = 1 independent of C
• G = A • B
– Propagate: Cout = C
• P = A  B
– Kill: Cout = 0 independent of C
• K = ~A • ~B
CMOS VLSI Design
11: Adders Slide 7
Full Adder Design I
 Brute force implementation from eqns
out ( , , )
S A B C
C MAJ A B C
  

A
B
C
S
Cout
MAJ
A
B
C
A
B B
B
A
C
S
C
C
C
B B
B
A A
A B
C
B
A
C
B
A A B C
Cout
C
A
A
B
B
CMOS VLSI Design
11: Adders Slide 8
Full Adder Design II
 Factor S in terms of Cout
S = ABC + (A + B + C)(~Cout)
 Critical path is usually C to Cout in ripple adder
S
S
Cout
A
B
C
Cout
MINORITY
CMOS VLSI Design
11: Adders Slide 9
Layout
 Clever layout circumvents usual line of diffusion
– Use wide transistors on critical path
– Eliminate output inverters
CMOS VLSI Design
11: Adders Slide 10
Full Adder Design III
 Complementary Pass Transistor Logic (CPL)
– Slightly faster, but more area
A
C
S
S
B
B
C
C
C
B
B
Cout
Cout
C
C
C
C
B
B
B
B
B
B
B
B
A
A
A
CMOS VLSI Design
11: Adders Slide 11
Full Adder Design IV
 Dual-rail domino
– Very fast, but large and power hungry
– Used in very fast multipliers
Cout
_h
A_h B_h
C_h
B_h
A_h

Cout
_l
A_l B_l
C_l
B_l
A_l

S_h
S_l
A_h
B_h B_h
B_l
A_l
C_l
C_h C_h

CMOS VLSI Design
11: Adders Slide 12
Carry Propagate Adders
 N-bit adder called CPA
– Each sum bit depends on all previous carries
– How do we compute all these carries quickly?
+
BN...1
AN...1
SN...1
Cin
Cout
11111
1111
+0000
0000
A4...1
carries
B4...1
S4...1
Cin
Cout
00000
1111
+0000
1111
Cin
Cout
CMOS VLSI Design
11: Adders Slide 13
Carry-Ripple Adder
 Simplest design: cascade full adders
– Critical path goes from Cin to Cout
– Design full adder to have fast carry delay
Cin
Cout
B1
A1
B2
A2
B3
A3
B4
A4
S1
S2
S3
S4
C1
C2
C3
CMOS VLSI Design
11: Adders Slide 14
Inversions
 Critical path passes through majority gate
– Built from minority + inverter
– Eliminate inverter and use inverting full adder
Cout
Cin
B1
A1
B2
A2
B3
A3
B4
A4
S1
S2
S3
S4
C1
C2
C3
CMOS VLSI Design
11: Adders Slide 15
Generate / Propagate
 Equations often factored into G and P
 Generate and propagate for groups spanning i:j
 Base case
 Sum:
:
:
i j
i j
G
P


:
:
i i i
i i i
G G
P P
 
 
0:00:00inGCP
0:00:00inGCP
0:0 0
0:0 0
G G
P P
 
 
i
S 
CMOS VLSI Design
11: Adders Slide 16
Generate / Propagate
 Equations often factored into G and P
 Generate and propagate for groups spanning i:j
 Base case
 Sum:
: : : 1:
: : 1:
i j i k i k k j
i j i k k j
G G P G
P P P


 

:
:
i i i i i
i i i i i
G G A B
P P A B
 
  
0:00:00inGCP
0:00:00inGCP
0:0 0
0:0 0 0
in
G G C
P P
 
 
1:0
i i i
S P G 
 
CMOS VLSI Design
11: Adders Slide 17
PG Logic
S1
B1
A1
P1
G1
G0:0
S2
B2
P2
G2
G1:0
A2
S3
B3
A3
P3
G3
G2:0
S4
B4
P4
G4
G3:0
A4
Cin
G0
P0
1: Bitwise PG logic
2: Group PG logic
3: Sum logic
C0
C1
C2
C3
Cout
C4
CMOS VLSI Design
11: Adders Slide 18
Carry-Ripple Revisited
:0 1:0
i i i i
G G P G 
 
S1
B1
A1
P1
G1
G0:0
S2
B2
P2
G2
G1:0
A2
S3
B3
A3
P3
G3
G2:0
S4
B4
P4
G4
G3:0
A4
Cin
G0
P0
C0
C1
C2
C3
Cout
C4
CMOS VLSI Design
11: Adders Slide 19
Carry-Ripple PG
Diagram
Delay
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Bit Position
ripple
t 
CMOS VLSI Design
11: Adders Slide 20
Carry-Ripple PG
Diagram
Delay
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
Bit Position
ripple xor
( 1)
pg AO
t t N t t
   
CMOS VLSI Design
11: Adders Slide 21
PG Diagram Notation
i:j
i:j
i:k k-1:j
i:j
i:k k-1:j
i:j
Gi:k
Pk-1:j
Gk-1:j
Gi:j
Pi:j
Pi:k
Gi:k
Gk-1:j
Gi:j Gi:j
Pi:j
Gi:j
Pi:j
Pi:k
Black cell Gray cell Buffer
CMOS VLSI Design
11: Adders Slide 22
Carry-Skip Adder
 Carry-ripple is slow through all N stages
 Carry-skip allows carry to skip over groups of n bits
– Decision based on n-bit propagate signal
Cin
+
S4:1
P4:1
A4:1
B4:1
+
S8:5
P8:5
A8:5
B8:5
+
S12:9
P12:9
A12:9
B12:9
+
S16:13
P16:13
A16:13
B16:13
Cout
C4
1
0
C8
1
0
C12
1
0
1
0
CMOS VLSI Design
11: Adders Slide 23
Carry-Skip PG Diagram
For k n-bit groups (N = nk)
skip
t 
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
16:0
CMOS VLSI Design
11: Adders Slide 24
Carry-Skip PG Diagram
For k n-bit groups (N = nk)
 
skip xor
2 1 ( 1)
pg AO
t t n k t t
     
 
 
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
16:0
CMOS VLSI Design
11: Adders Slide 25
Variable Group Size
Delay grows as O(sqrt(N))
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
16:0
CMOS VLSI Design
11: Adders Slide 26
Carry-Lookahead Adder
 Carry-lookahead adder computes Gi:0 for many bits
in parallel.
 Uses higher-valency cells with more than two inputs.
Cin
+
S4:1
G4:1
P4:1
A4:1
B4:1
+
S8:5
G8:5
P8:5
A8:5
B8:5
+
S12:9
G12:9
P12:9
A12:9
B12:9
+
S16:13
G16:13
P16:13
A16:13
B16:13
C4
C8
C12
Cout
CMOS VLSI Design
11: Adders Slide 27
CLA PG Diagram
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
16:0
CMOS VLSI Design
11: Adders Slide 28
Higher-Valency Cells
i:j
i:k k-1:l l-1:m m-1:j
Gi:k
Gk-1:l
Gl-1:m
Gm-1:j
Gi:j
Pi:j
Pi:k
Pk-1:l
Pl-1:m
Pm-1:j
CMOS VLSI Design
11: Adders Slide 29
Carry-Select Adder
 Trick for critical paths dependent on late input X
– Precompute two possible outputs for X = 0, 1
– Select proper output when X arrives
 Carry-select adder precomputes n-bit sums
– For both possible carries into n-bit group
Cin
+
A4:1
B4:1
S4:1
C4
+
+
0
1
A8:5
B8:5
S8:5
C8
+
+
0
1
A12:9
B12:9
S12:9
C12
+
+
0
1
A16:13
B16:13
S16:13
Cout
0
1
0
1
0
1
CMOS VLSI Design
11: Adders Slide 30
Carry-Increment Adder
 Factor initial PG and final XOR out of carry-select
5:4
6:4
7:4
9:8
10:8
11:8
13:12
14:12
15:12
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
increment
t 
CMOS VLSI Design
11: Adders Slide 31
Carry-Increment Adder
 Factor initial PG and final XOR out of carry-select
5:4
6:4
7:4
9:8
10:8
11:8
13:12
14:12
15:12
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
 
increment xor
1 ( 1)
pg AO
t t n k t t
     
 
 
CMOS VLSI Design
11: Adders Slide 32
Variable Group Size
 Also buffer
noncritical
signals
3:2
5:4
6:4
8:7
9:7
12:11
13:11
14:11
15:11
10:7
3:2
5:4
6:4
8:7
9:7
12:11
13:11
14:11
15:11
10:7 6:0
3:0
1:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 33
Tree Adder
 If lookahead is good, lookahead across lookahead!
– Recursive lookahead gives O(log N) delay
 Many variations on tree adders
CMOS VLSI Design
11: Adders Slide 34
Brent-Kung
1:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
3:0
7:4
11:8
15:12
7:0
15:8
11:0
5:0
9:0
13:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 35
Sklansky
1:0
2:0
3:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
6:4
7:4
10:8
11:8
14:12
15:12
12:8
13:8
14:8
15:8
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 36
Kogge-Stone
1:0
2:1
3:2
4:3
5:4
6:5
7:6
8:7
9:8
10:9
11:10
12:11
13:12
14:13
15:14
3:0
4:1
5:2
6:3
7:4
8:5
9:6
10:7
11:8
12:9
13:10
14:11
15:12
4:0
5:0
6:0
7:0
8:1
9:2
10:3
11:4
12:5
13:6
14:7
15:8
2:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 37
Tree Adder Taxonomy
 Ideal N-bit tree adder would have
– L = log N logic levels
– Fanout never exceeding 2
– No more than one wiring track between levels
 Describe adder with 3-D taxonomy (l, f, t)
– Logic levels: L + l
– Fanout: 2f + 1
– Wiring tracks: 2t
 Known tree adders sit on plane defined by
l + f + t = L-1
CMOS VLSI Design
11: Adders Slide 38
Tree Adder Taxonomy
f (Fanout)
t (Wire Tracks)
l (Logic Levels)
0 (2)
1 (3)
2 (5)
3 (9)
0 (4)
1 (5)
2 (6)
3 (8)
2 (4)
1 (2)
0 (1)
3 (7)
CMOS VLSI Design
11: Adders Slide 39
Tree Adder Taxonomy
f (Fanout)
t (Wire Tracks)
l (Logic Levels)
0 (2)
1 (3)
2 (5)
3 (9)
0 (4)
1 (5)
2 (6)
3 (8)
2 (4)
1 (2)
0 (1)
3 (7)
Kogge-Stone
Brent-Kung
Sklansky
CMOS VLSI Design
11: Adders Slide 40
Han-Carlson
1:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
3:0
5:2
7:4
9:6
11:8
13:10
15:12
5:0
7:0
9:2
11:4
13:6
15:8
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 41
Knowles [2, 1, 1, 1]
1:0
2:1
3:2
4:3
5:4
6:5
7:6
8:7
9:8
10:9
11:10
12:11
13:12
14:13
15:14
3:0
4:1
5:2
6:3
7:4
8:5
9:6
10:7
11:8
12:9
13:10
14:11
15:12
4:0
5:0
6:0
7:0
8:1
9:2
10:3
11:4
12:5
13:6
14:7
15:8
2:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 42
Ladner-Fischer
1:0
3:2
5:4
7:6
9:8
11:10
13:12
3:0
7:4
11:8
15:12
5:0
7:0
13:8
15:8
15:14
15:8 13:0 11:0 9:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
CMOS VLSI Design
11: Adders Slide 43
Taxonomy Revisited
f (Fanout)
t (Wire Tracks)
l (Logic Levels)
0 (2)
1 (3)
2 (5)
3 (9)
0 (4)
1 (5)
2 (6)
3 (8)
2 (4)
1 (2)
0 (1)
3 (7)
Kogge-
Stone
Sklansky
Brent-
Kung
Han-
Carlson
Knowles
[2,1,1,1]
Knowles
[4,2,1,1]
Ladner-
Fischer
Han-
Carlson
Ladner-
Fischer
New
(1,1,1)
(c) Kogge-Stone
1:0
2:1
3:2
4:3
5:4
6:5
7:6
8:7
9:8
10:9
11:10
12:11
13:12
14:13
15:14
3:0
4:1
5:2
6:3
7:4
8:5
9:6
10:7
11:8
12:9
13:10
14:11
15:12
4:0
5:0
6:0
7:0
8:1
9:2
10:3
11:4
12:5
13:6
14:7
15:8
2:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
(e) Knowles [2,1,1,1]
1:0
2:1
3:2
4:3
5:4
6:5
7:6
8:7
9:8
10:9
11:10
12:11
13:12
14:13
15:14
3:0
4:1
5:2
6:3
7:4
8:5
9:6
10:7
11:8
12:9
13:10
14:11
15:12
4:0
5:0
6:0
7:0
8:1
9:2
10:3
11:4
12:5
13:6
14:7
15:8
2:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
(b) Sklansky
1:0
2:0
3:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
6:4
7:4
10:8
11:8
14:12
15:12
12:8
13:8
14:8
15:8
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
1:0
3:2
5:4
7:6
9:8
11:10
13:12
3:0
7:4
11:8
15:12
5:0
7:0
13:8
15:8
15:14
15:8 13:0 11:0 9:0
0
1
2
3
4
5
6
7
8
9
1
0
1
1
1
2
1
3
1
4
1
5
15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
(f)Ladner-Fischer
(a) Brent-Kung
1:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
3:0
7:4
11:8
15:12
7:0
15:8
11:0
5:0
9:0
13:0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
1:0
3:2
5:4
7:6
9:8
11:10
13:12
15:14
3:0
5:2
7:4
9:6
11:8
13:10
15:12
5:0
7:0
9:2
11:4
13:6
15:8
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
(d) Han-Carlson
CMOS VLSI Design
11: Adders Slide 44
Summary
Architecture Classification Logic
Levels
Max
Fanout
Tracks Cells
Carry-Ripple N-1 1 1 N
Carry-Skip n=4 N/4 + 5 2 1 1.25N
Carry-Inc. n=4 N/4 + 2 4 1 2N
Brent-Kung (L-1, 0, 0) 2log2N – 1 2 1 2N
Sklansky (0, L-1, 0) log2N N/2 + 1 1 0.5 Nlog2N
Kogge-Stone (0, 0, L-1) log2N 2 N/2 Nlog2N
Adder architectures offer area / power / delay tradeoffs.
Choose the best one for your application.

More Related Content

Similar to Lecture on Adders in VLSI Design in ECE Engineering

Comparison among Different Adders
Comparison among Different Adders Comparison among Different Adders
Comparison among Different Adders iosrjce
 
The Fuzzing Project - 32C3
The Fuzzing Project - 32C3The Fuzzing Project - 32C3
The Fuzzing Project - 32C3hannob
 
COA-unit-2-Arithmetic.ppt
COA-unit-2-Arithmetic.pptCOA-unit-2-Arithmetic.ppt
COA-unit-2-Arithmetic.pptRuhul Amin
 
09 module determinig ip routes
09  module determinig ip routes09  module determinig ip routes
09 module determinig ip routesAsif
 
Computer design and architecture with simple cpu
Computer design and architecture with simple cpuComputer design and architecture with simple cpu
Computer design and architecture with simple cpuNaohiko Shimizu
 
Vlsi model question paper 3 (june 2021)
Vlsi model question paper 3 (june 2021)Vlsi model question paper 3 (june 2021)
Vlsi model question paper 3 (june 2021)PUSHPALATHAV1
 
lecture_MR in Mosfet Operation and Charecteristics
lecture_MR in Mosfet Operation and Charecteristicslecture_MR in Mosfet Operation and Charecteristics
lecture_MR in Mosfet Operation and CharecteristicsBEVARAVASUDEVAAP1813
 
Cost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power ApplicationsCost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power ApplicationsVIT-AP University
 
Chapter 04 - ALU Operation.ppt
Chapter 04 - ALU Operation.pptChapter 04 - ALU Operation.ppt
Chapter 04 - ALU Operation.pptMonirJihad1
 
EEE 4157_Lecture_10_11_12_13.ppt
EEE 4157_Lecture_10_11_12_13.pptEEE 4157_Lecture_10_11_12_13.ppt
EEE 4157_Lecture_10_11_12_13.pptOmarFaruqe23
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13Krish s
 
Design of chip controller
Design of chip controllerDesign of chip controller
Design of chip controllerasha
 

Similar to Lecture on Adders in VLSI Design in ECE Engineering (20)

Comparison among Different Adders
Comparison among Different Adders Comparison among Different Adders
Comparison among Different Adders
 
lec23Concl.ppt
lec23Concl.pptlec23Concl.ppt
lec23Concl.ppt
 
The Fuzzing Project - 32C3
The Fuzzing Project - 32C3The Fuzzing Project - 32C3
The Fuzzing Project - 32C3
 
10 multiplexers-de mux
10 multiplexers-de mux10 multiplexers-de mux
10 multiplexers-de mux
 
unit 5.ppt
unit 5.pptunit 5.ppt
unit 5.ppt
 
ALU.ppt
ALU.pptALU.ppt
ALU.ppt
 
COA-unit-2-Arithmetic.ppt
COA-unit-2-Arithmetic.pptCOA-unit-2-Arithmetic.ppt
COA-unit-2-Arithmetic.ppt
 
09 module determinig ip routes
09  module determinig ip routes09  module determinig ip routes
09 module determinig ip routes
 
Computer design and architecture with simple cpu
Computer design and architecture with simple cpuComputer design and architecture with simple cpu
Computer design and architecture with simple cpu
 
Lecture 8.ppt
Lecture 8.pptLecture 8.ppt
Lecture 8.ppt
 
SynergieCAD-V93K-CTH-Configuration_MAR16
SynergieCAD-V93K-CTH-Configuration_MAR16SynergieCAD-V93K-CTH-Configuration_MAR16
SynergieCAD-V93K-CTH-Configuration_MAR16
 
Multipliers in VLSI
Multipliers in VLSIMultipliers in VLSI
Multipliers in VLSI
 
Vlsi model question paper 3 (june 2021)
Vlsi model question paper 3 (june 2021)Vlsi model question paper 3 (june 2021)
Vlsi model question paper 3 (june 2021)
 
lecture_MR in Mosfet Operation and Charecteristics
lecture_MR in Mosfet Operation and Charecteristicslecture_MR in Mosfet Operation and Charecteristics
lecture_MR in Mosfet Operation and Charecteristics
 
Cost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power ApplicationsCost Efficient Design of Reversible Adder Circuits for Low Power Applications
Cost Efficient Design of Reversible Adder Circuits for Low Power Applications
 
Chapter 04 - ALU Operation.ppt
Chapter 04 - ALU Operation.pptChapter 04 - ALU Operation.ppt
Chapter 04 - ALU Operation.ppt
 
EEE 4157_Lecture_10_11_12_13.ppt
EEE 4157_Lecture_10_11_12_13.pptEEE 4157_Lecture_10_11_12_13.ppt
EEE 4157_Lecture_10_11_12_13.ppt
 
PAL
PALPAL
PAL
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13
 
Design of chip controller
Design of chip controllerDesign of chip controller
Design of chip controller
 

Recently uploaded

GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 

Recently uploaded (20)

GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 

Lecture on Adders in VLSI Design in ECE Engineering

  • 2. CMOS VLSI Design 11: Adders Slide 2 Outline  Single-bit Addition  Carry-Ripple Adder  Carry-Skip Adder  Carry-Lookahead Adder  Carry-Select Adder  Carry-Increment Adder  Tree Adder
  • 3. CMOS VLSI Design 11: Adders Slide 3 Single-Bit Addition Half Adder Full Adder A B Cout S 0 0 0 1 1 0 1 1 A B C Cout S 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 A B S Cout A B C S Cout out S C   out S C  
  • 4. CMOS VLSI Design 11: Adders Slide 4 Single-Bit Addition Half Adder Full Adder A B Cout S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 A B C Cout S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 A B S Cout A B C S Cout out S A B C A B    out ( , , ) S A B C C MAJ A B C    
  • 5. CMOS VLSI Design 11: Adders Slide 5 PGK  For a full adder, define what happens to carries – Generate: Cout = 1 independent of C • G = – Propagate: Cout = C • P = – Kill: Cout = 0 independent of C • K =
  • 6. CMOS VLSI Design 11: Adders Slide 6 PGK  For a full adder, define what happens to carries – Generate: Cout = 1 independent of C • G = A • B – Propagate: Cout = C • P = A  B – Kill: Cout = 0 independent of C • K = ~A • ~B
  • 7. CMOS VLSI Design 11: Adders Slide 7 Full Adder Design I  Brute force implementation from eqns out ( , , ) S A B C C MAJ A B C     A B C S Cout MAJ A B C A B B B A C S C C C B B B A A A B C B A C B A A B C Cout C A A B B
  • 8. CMOS VLSI Design 11: Adders Slide 8 Full Adder Design II  Factor S in terms of Cout S = ABC + (A + B + C)(~Cout)  Critical path is usually C to Cout in ripple adder S S Cout A B C Cout MINORITY
  • 9. CMOS VLSI Design 11: Adders Slide 9 Layout  Clever layout circumvents usual line of diffusion – Use wide transistors on critical path – Eliminate output inverters
  • 10. CMOS VLSI Design 11: Adders Slide 10 Full Adder Design III  Complementary Pass Transistor Logic (CPL) – Slightly faster, but more area A C S S B B C C C B B Cout Cout C C C C B B B B B B B B A A A
  • 11. CMOS VLSI Design 11: Adders Slide 11 Full Adder Design IV  Dual-rail domino – Very fast, but large and power hungry – Used in very fast multipliers Cout _h A_h B_h C_h B_h A_h  Cout _l A_l B_l C_l B_l A_l  S_h S_l A_h B_h B_h B_l A_l C_l C_h C_h 
  • 12. CMOS VLSI Design 11: Adders Slide 12 Carry Propagate Adders  N-bit adder called CPA – Each sum bit depends on all previous carries – How do we compute all these carries quickly? + BN...1 AN...1 SN...1 Cin Cout 11111 1111 +0000 0000 A4...1 carries B4...1 S4...1 Cin Cout 00000 1111 +0000 1111 Cin Cout
  • 13. CMOS VLSI Design 11: Adders Slide 13 Carry-Ripple Adder  Simplest design: cascade full adders – Critical path goes from Cin to Cout – Design full adder to have fast carry delay Cin Cout B1 A1 B2 A2 B3 A3 B4 A4 S1 S2 S3 S4 C1 C2 C3
  • 14. CMOS VLSI Design 11: Adders Slide 14 Inversions  Critical path passes through majority gate – Built from minority + inverter – Eliminate inverter and use inverting full adder Cout Cin B1 A1 B2 A2 B3 A3 B4 A4 S1 S2 S3 S4 C1 C2 C3
  • 15. CMOS VLSI Design 11: Adders Slide 15 Generate / Propagate  Equations often factored into G and P  Generate and propagate for groups spanning i:j  Base case  Sum: : : i j i j G P   : : i i i i i i G G P P     0:00:00inGCP 0:00:00inGCP 0:0 0 0:0 0 G G P P     i S 
  • 16. CMOS VLSI Design 11: Adders Slide 16 Generate / Propagate  Equations often factored into G and P  Generate and propagate for groups spanning i:j  Base case  Sum: : : : 1: : : 1: i j i k i k k j i j i k k j G G P G P P P      : : i i i i i i i i i i G G A B P P A B      0:00:00inGCP 0:00:00inGCP 0:0 0 0:0 0 0 in G G C P P     1:0 i i i S P G   
  • 17. CMOS VLSI Design 11: Adders Slide 17 PG Logic S1 B1 A1 P1 G1 G0:0 S2 B2 P2 G2 G1:0 A2 S3 B3 A3 P3 G3 G2:0 S4 B4 P4 G4 G3:0 A4 Cin G0 P0 1: Bitwise PG logic 2: Group PG logic 3: Sum logic C0 C1 C2 C3 Cout C4
  • 18. CMOS VLSI Design 11: Adders Slide 18 Carry-Ripple Revisited :0 1:0 i i i i G G P G    S1 B1 A1 P1 G1 G0:0 S2 B2 P2 G2 G1:0 A2 S3 B3 A3 P3 G3 G2:0 S4 B4 P4 G4 G3:0 A4 Cin G0 P0 C0 C1 C2 C3 Cout C4
  • 19. CMOS VLSI Design 11: Adders Slide 19 Carry-Ripple PG Diagram Delay 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 Bit Position ripple t 
  • 20. CMOS VLSI Design 11: Adders Slide 20 Carry-Ripple PG Diagram Delay 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 Bit Position ripple xor ( 1) pg AO t t N t t    
  • 21. CMOS VLSI Design 11: Adders Slide 21 PG Diagram Notation i:j i:j i:k k-1:j i:j i:k k-1:j i:j Gi:k Pk-1:j Gk-1:j Gi:j Pi:j Pi:k Gi:k Gk-1:j Gi:j Gi:j Pi:j Gi:j Pi:j Pi:k Black cell Gray cell Buffer
  • 22. CMOS VLSI Design 11: Adders Slide 22 Carry-Skip Adder  Carry-ripple is slow through all N stages  Carry-skip allows carry to skip over groups of n bits – Decision based on n-bit propagate signal Cin + S4:1 P4:1 A4:1 B4:1 + S8:5 P8:5 A8:5 B8:5 + S12:9 P12:9 A12:9 B12:9 + S16:13 P16:13 A16:13 B16:13 Cout C4 1 0 C8 1 0 C12 1 0 1 0
  • 23. CMOS VLSI Design 11: Adders Slide 23 Carry-Skip PG Diagram For k n-bit groups (N = nk) skip t  0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 16:0
  • 24. CMOS VLSI Design 11: Adders Slide 24 Carry-Skip PG Diagram For k n-bit groups (N = nk)   skip xor 2 1 ( 1) pg AO t t n k t t           0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 16:0
  • 25. CMOS VLSI Design 11: Adders Slide 25 Variable Group Size Delay grows as O(sqrt(N)) 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 16:0
  • 26. CMOS VLSI Design 11: Adders Slide 26 Carry-Lookahead Adder  Carry-lookahead adder computes Gi:0 for many bits in parallel.  Uses higher-valency cells with more than two inputs. Cin + S4:1 G4:1 P4:1 A4:1 B4:1 + S8:5 G8:5 P8:5 A8:5 B8:5 + S12:9 G12:9 P12:9 A12:9 B12:9 + S16:13 G16:13 P16:13 A16:13 B16:13 C4 C8 C12 Cout
  • 27. CMOS VLSI Design 11: Adders Slide 27 CLA PG Diagram 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 16:0
  • 28. CMOS VLSI Design 11: Adders Slide 28 Higher-Valency Cells i:j i:k k-1:l l-1:m m-1:j Gi:k Gk-1:l Gl-1:m Gm-1:j Gi:j Pi:j Pi:k Pk-1:l Pl-1:m Pm-1:j
  • 29. CMOS VLSI Design 11: Adders Slide 29 Carry-Select Adder  Trick for critical paths dependent on late input X – Precompute two possible outputs for X = 0, 1 – Select proper output when X arrives  Carry-select adder precomputes n-bit sums – For both possible carries into n-bit group Cin + A4:1 B4:1 S4:1 C4 + + 0 1 A8:5 B8:5 S8:5 C8 + + 0 1 A12:9 B12:9 S12:9 C12 + + 0 1 A16:13 B16:13 S16:13 Cout 0 1 0 1 0 1
  • 30. CMOS VLSI Design 11: Adders Slide 30 Carry-Increment Adder  Factor initial PG and final XOR out of carry-select 5:4 6:4 7:4 9:8 10:8 11:8 13:12 14:12 15:12 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 increment t 
  • 31. CMOS VLSI Design 11: Adders Slide 31 Carry-Increment Adder  Factor initial PG and final XOR out of carry-select 5:4 6:4 7:4 9:8 10:8 11:8 13:12 14:12 15:12 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0   increment xor 1 ( 1) pg AO t t n k t t          
  • 32. CMOS VLSI Design 11: Adders Slide 32 Variable Group Size  Also buffer noncritical signals 3:2 5:4 6:4 8:7 9:7 12:11 13:11 14:11 15:11 10:7 3:2 5:4 6:4 8:7 9:7 12:11 13:11 14:11 15:11 10:7 6:0 3:0 1:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 33. CMOS VLSI Design 11: Adders Slide 33 Tree Adder  If lookahead is good, lookahead across lookahead! – Recursive lookahead gives O(log N) delay  Many variations on tree adders
  • 34. CMOS VLSI Design 11: Adders Slide 34 Brent-Kung 1:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 3:0 7:4 11:8 15:12 7:0 15:8 11:0 5:0 9:0 13:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 35. CMOS VLSI Design 11: Adders Slide 35 Sklansky 1:0 2:0 3:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 6:4 7:4 10:8 11:8 14:12 15:12 12:8 13:8 14:8 15:8 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 36. CMOS VLSI Design 11: Adders Slide 36 Kogge-Stone 1:0 2:1 3:2 4:3 5:4 6:5 7:6 8:7 9:8 10:9 11:10 12:11 13:12 14:13 15:14 3:0 4:1 5:2 6:3 7:4 8:5 9:6 10:7 11:8 12:9 13:10 14:11 15:12 4:0 5:0 6:0 7:0 8:1 9:2 10:3 11:4 12:5 13:6 14:7 15:8 2:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 37. CMOS VLSI Design 11: Adders Slide 37 Tree Adder Taxonomy  Ideal N-bit tree adder would have – L = log N logic levels – Fanout never exceeding 2 – No more than one wiring track between levels  Describe adder with 3-D taxonomy (l, f, t) – Logic levels: L + l – Fanout: 2f + 1 – Wiring tracks: 2t  Known tree adders sit on plane defined by l + f + t = L-1
  • 38. CMOS VLSI Design 11: Adders Slide 38 Tree Adder Taxonomy f (Fanout) t (Wire Tracks) l (Logic Levels) 0 (2) 1 (3) 2 (5) 3 (9) 0 (4) 1 (5) 2 (6) 3 (8) 2 (4) 1 (2) 0 (1) 3 (7)
  • 39. CMOS VLSI Design 11: Adders Slide 39 Tree Adder Taxonomy f (Fanout) t (Wire Tracks) l (Logic Levels) 0 (2) 1 (3) 2 (5) 3 (9) 0 (4) 1 (5) 2 (6) 3 (8) 2 (4) 1 (2) 0 (1) 3 (7) Kogge-Stone Brent-Kung Sklansky
  • 40. CMOS VLSI Design 11: Adders Slide 40 Han-Carlson 1:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 3:0 5:2 7:4 9:6 11:8 13:10 15:12 5:0 7:0 9:2 11:4 13:6 15:8 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 41. CMOS VLSI Design 11: Adders Slide 41 Knowles [2, 1, 1, 1] 1:0 2:1 3:2 4:3 5:4 6:5 7:6 8:7 9:8 10:9 11:10 12:11 13:12 14:13 15:14 3:0 4:1 5:2 6:3 7:4 8:5 9:6 10:7 11:8 12:9 13:10 14:11 15:12 4:0 5:0 6:0 7:0 8:1 9:2 10:3 11:4 12:5 13:6 14:7 15:8 2:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 42. CMOS VLSI Design 11: Adders Slide 42 Ladner-Fischer 1:0 3:2 5:4 7:6 9:8 11:10 13:12 3:0 7:4 11:8 15:12 5:0 7:0 13:8 15:8 15:14 15:8 13:0 11:0 9:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0
  • 43. CMOS VLSI Design 11: Adders Slide 43 Taxonomy Revisited f (Fanout) t (Wire Tracks) l (Logic Levels) 0 (2) 1 (3) 2 (5) 3 (9) 0 (4) 1 (5) 2 (6) 3 (8) 2 (4) 1 (2) 0 (1) 3 (7) Kogge- Stone Sklansky Brent- Kung Han- Carlson Knowles [2,1,1,1] Knowles [4,2,1,1] Ladner- Fischer Han- Carlson Ladner- Fischer New (1,1,1) (c) Kogge-Stone 1:0 2:1 3:2 4:3 5:4 6:5 7:6 8:7 9:8 10:9 11:10 12:11 13:12 14:13 15:14 3:0 4:1 5:2 6:3 7:4 8:5 9:6 10:7 11:8 12:9 13:10 14:11 15:12 4:0 5:0 6:0 7:0 8:1 9:2 10:3 11:4 12:5 13:6 14:7 15:8 2:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 (e) Knowles [2,1,1,1] 1:0 2:1 3:2 4:3 5:4 6:5 7:6 8:7 9:8 10:9 11:10 12:11 13:12 14:13 15:14 3:0 4:1 5:2 6:3 7:4 8:5 9:6 10:7 11:8 12:9 13:10 14:11 15:12 4:0 5:0 6:0 7:0 8:1 9:2 10:3 11:4 12:5 13:6 14:7 15:8 2:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 (b) Sklansky 1:0 2:0 3:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 6:4 7:4 10:8 11:8 14:12 15:12 12:8 13:8 14:8 15:8 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 1:0 3:2 5:4 7:6 9:8 11:10 13:12 3:0 7:4 11:8 15:12 5:0 7:0 13:8 15:8 15:14 15:8 13:0 11:0 9:0 0 1 2 3 4 5 6 7 8 9 1 0 1 1 1 2 1 3 1 4 1 5 15:0 14:0 13:0 12:0 11:0 10:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 (f)Ladner-Fischer (a) Brent-Kung 1:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 3:0 7:4 11:8 15:12 7:0 15:8 11:0 5:0 9:0 13:0 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 1:0 3:2 5:4 7:6 9:8 11:10 13:12 15:14 3:0 5:2 7:4 9:6 11:8 13:10 15:12 5:0 7:0 9:2 11:4 13:6 15:8 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15:014:013:012:011:010:0 9:0 8:0 7:0 6:0 5:0 4:0 3:0 2:0 1:0 0:0 (d) Han-Carlson
  • 44. CMOS VLSI Design 11: Adders Slide 44 Summary Architecture Classification Logic Levels Max Fanout Tracks Cells Carry-Ripple N-1 1 1 N Carry-Skip n=4 N/4 + 5 2 1 1.25N Carry-Inc. n=4 N/4 + 2 4 1 2N Brent-Kung (L-1, 0, 0) 2log2N – 1 2 1 2N Sklansky (0, L-1, 0) log2N N/2 + 1 1 0.5 Nlog2N Kogge-Stone (0, 0, L-1) log2N 2 N/2 Nlog2N Adder architectures offer area / power / delay tradeoffs. Choose the best one for your application.