San Francisco Android User Group hosted an event on March 30th, 2010 with Frank Maker, Eric Jung, and Yichuan Wang, a trio of very smart PhD students, who shared what they've learned about power consumption / battery life on mobile/Android devices in their years of research. Specifically, they talked about: Mobile Architecture - Talk about differences with desktop/laptop computers, where power goes, etc. Measuring Power/Energy - difference between energy and power, battery technology, why the problem isn't going away anytime soon Software Optimizations - different ideas you can use to lower the power consumption of your application. Hosted by SUPINFO Sponsored by Sun, O'Reilly, and Marakana Organized by Marakana Video by Max Walker Photography by Aleksandar Gargenta
This document discusses energy consumption in smartphones. It provides background on the growing use of smartphones and their advanced features. It then outlines related work analyzing the energy drawn by smartphone components and identifying areas for improvement. This includes surveys on smartphone energy consumption and papers examining the top energy consumers on mobile phones. The document concludes by discussing monitoring smartphone energy consumption and identifying high energy areas. Further work proposed includes reading new research and implementing energy analysis methods.
Evaluating impact of cross-platform frameworks in energy consumption of mobil...University of Geneva
Thank You for referencing this work, if you find it useful!
Reference/Citation: M. Ciman, O. Gaggi: "Evaluating impact of cross-platform frameworks in energy consumption of mobile applications", In Proceedings of the 10th International Conference on Web Information Systems and Technologies (WEBIST14), Barcelona, Spain, April 2014.
Can a Game improve People's Lives? The case of Serious Games?University of Geneva
Thank You for referencing this work, if you find it useful!
Reference/Citation: A. Bujari, M. Ciman, O. Gaggi, C. E. Palazzi: "Can a Game improve People's Lives? The case of Serious Games?", In Proceedings of the EAI International Conference on Smart Objects and Technologies for Social Good (GOODTECHS 2015), October 2015, Rome, Italy
This document discusses power management techniques for mobile phones. It explains that power management aims to provide optimal performance while minimizing power consumption through automated processes without user intervention. It describes various techniques like dynamic power management (DPM) and dynamic voltage and frequency scaling (DVFS) that can dynamically adjust the system power modes and operating frequencies/voltages based on activity levels. The role of hardware support and applications in enabling these techniques is also covered. Specific examples of how power management can be applied during media playback are provided.
Design and Testing for longer battery life in Android and other Mobile Device...Moe Tanabian
The document discusses methods for improving battery life in Android devices through optimizing power consumption at the battery, hardware, and software levels. It examines factors that influence battery life like battery chemistry and discharge profiles, and explores techniques for objectively measuring and predicting power usage of different components and applications to identify areas for optimization. Hands-on demonstrations are provided of setting up power measurement labs and profiling power usage of subsystems like displays, networks, and GPS through various test cases.
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
This paper introduces efficient test logic partitioning to not only optimize and reduce the overall test power during silicon validation but also reduce power in functional mode by shutting off test logic. Approach used in optimizing test power has been successful in reducing overall functional mode leakage power by 50% without any additional area overhead or test time increase. Results shared are based on WIMAX full chip SoC design.
Unified device management is needed to manage the increasing complexity of endpoints. A single tool is needed to provide visibility and management of all endpoints, including desktops, laptops, servers, and mobile devices. IBM's unified device management solution provides a single management server that can support up to 250,000 endpoints. It uses a single intelligent agent and unified management console for streamlined administration. This reduces costs by eliminating multiple point solutions and simplifying management of heterogeneous endpoints from data centers to desktops to mobile devices.
Smart phones like the HTC Dream and Google Nexus One consume energy through their display, Bluetooth, Wi-Fi/GPRS/UTMS connectivity, voice and video calls, SMS, gaming apps, and mobile TV. The document measures and compares the energy consumption of different components and functions of smart phones.
This document discusses energy consumption in smartphones. It provides background on the growing use of smartphones and their advanced features. It then outlines related work analyzing the energy drawn by smartphone components and identifying areas for improvement. This includes surveys on smartphone energy consumption and papers examining the top energy consumers on mobile phones. The document concludes by discussing monitoring smartphone energy consumption and identifying high energy areas. Further work proposed includes reading new research and implementing energy analysis methods.
Evaluating impact of cross-platform frameworks in energy consumption of mobil...University of Geneva
Thank You for referencing this work, if you find it useful!
Reference/Citation: M. Ciman, O. Gaggi: "Evaluating impact of cross-platform frameworks in energy consumption of mobile applications", In Proceedings of the 10th International Conference on Web Information Systems and Technologies (WEBIST14), Barcelona, Spain, April 2014.
Can a Game improve People's Lives? The case of Serious Games?University of Geneva
Thank You for referencing this work, if you find it useful!
Reference/Citation: A. Bujari, M. Ciman, O. Gaggi, C. E. Palazzi: "Can a Game improve People's Lives? The case of Serious Games?", In Proceedings of the EAI International Conference on Smart Objects and Technologies for Social Good (GOODTECHS 2015), October 2015, Rome, Italy
This document discusses power management techniques for mobile phones. It explains that power management aims to provide optimal performance while minimizing power consumption through automated processes without user intervention. It describes various techniques like dynamic power management (DPM) and dynamic voltage and frequency scaling (DVFS) that can dynamically adjust the system power modes and operating frequencies/voltages based on activity levels. The role of hardware support and applications in enabling these techniques is also covered. Specific examples of how power management can be applied during media playback are provided.
Design and Testing for longer battery life in Android and other Mobile Device...Moe Tanabian
The document discusses methods for improving battery life in Android devices through optimizing power consumption at the battery, hardware, and software levels. It examines factors that influence battery life like battery chemistry and discharge profiles, and explores techniques for objectively measuring and predicting power usage of different components and applications to identify areas for optimization. Hands-on demonstrations are provided of setting up power measurement labs and profiling power usage of subsystems like displays, networks, and GPS through various test cases.
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
This paper introduces efficient test logic partitioning to not only optimize and reduce the overall test power during silicon validation but also reduce power in functional mode by shutting off test logic. Approach used in optimizing test power has been successful in reducing overall functional mode leakage power by 50% without any additional area overhead or test time increase. Results shared are based on WIMAX full chip SoC design.
Unified device management is needed to manage the increasing complexity of endpoints. A single tool is needed to provide visibility and management of all endpoints, including desktops, laptops, servers, and mobile devices. IBM's unified device management solution provides a single management server that can support up to 250,000 endpoints. It uses a single intelligent agent and unified management console for streamlined administration. This reduces costs by eliminating multiple point solutions and simplifying management of heterogeneous endpoints from data centers to desktops to mobile devices.
Smart phones like the HTC Dream and Google Nexus One consume energy through their display, Bluetooth, Wi-Fi/GPRS/UTMS connectivity, voice and video calls, SMS, gaming apps, and mobile TV. The document measures and compares the energy consumption of different components and functions of smart phones.
This document discusses energy efficiency of Android apps and how to improve it. It identifies that apps are often to blame for bad battery life due to poor coding practices that cause power bugs. It provides tips for optimizing aspects of apps like the screen, CPU, wireless radios, GPS, and best practices to avoid common power bugs and improve battery life. These include reducing brightness and using a dark color scheme for the screen, batching network requests, and using algorithms that are less computationally intensive.
Get an overview of Qualcomm Technologies, Inc.'s power and performance profiling tools, and how these tools can help you create more efficient apps. Covered topics include using profiling tools to determine whether your app exhibits behavior that causes excessive power consumption, how to resolve the top five power-related problems and more.
Watch this presentation on YouTube: https://www.youtube.com/watch?v=9Uc0qpMzfw0
Global Energy Consumption and alternative technologiesKnut Linke
Global energy consumption is increasing at 2.4% annually, straining resources and causing more pollution. Residential energy usage, especially for heating, cooling and hot water, accounts for a large portion of total usage. Alternative technologies like solar PV, solar thermal, and geothermal can help reduce energy consumption and shift to cleaner sources. These technologies are becoming more affordable with government incentives that cover 30% or more of installation costs, making them viable options for homeowners looking to save money and help the environment.
The document discusses various energy conservation measures that can be implemented in hotels to reduce energy costs and improve profitability. Some key measures mentioned include installing energy efficient machines, implementing auto controls and timers for HVAC and lighting systems, improving insulation and installing efficient windows, replacing incandescent bulbs with CFLs and LEDs, using occupancy sensors and natural light where possible, and educating guests and staff to turn off lights in unoccupied rooms. Taking these measures can help cut a hotel's energy costs by 10-15% and improve the bottom line.
The document discusses how to read an electric meter by explaining [1] how the dials work from right to left to indicate kilowatt-hours used, [2] how to resolve close readings between numbers, [3] how to read a sample 4-dial meter, and [4] how to calculate power usage from the meter's rotation code and time. It then provides examples of calculating power for different appliances by timing the meter's rotations with the appliance on and off.
This lesson plan outlines a 45-minute math session on slope and y-intercept. Students will determine slope and y-intercept from graphs and explain their real-world meanings. Activities include individual practice finding slopes, pair sharing to discuss methods, and group projects with assigned roles to complete graphing tasks. Formative assessment includes observation, samples, and exit tickets. Differentiation is provided through graph board activities, songs, visuals, and adjustable time limits.
How to Lower Android Power Consumption Without Affecting Performancerickschwar
The document discusses various ways mobile app developers can lower the power consumption of their apps without affecting performance. It begins by explaining that most apps do not efficiently use system resources like the processor, cellular radio, and display, wasting power and reducing battery life. It then provides tips for optimizing specific areas of power consumption, such as using the cellular radio efficiently by bundling network traffic, offloading tasks to hardware accelerators like the DSP to reduce CPU usage, and managing the display to minimize brightness. The document stresses that measuring power consumption is key, and provides tools developers can use to profile and optimize the power impact of their apps.
State of Mobile Commerce 2014 (Sucharita Mulpuru)Monetate
Sucharita Mulpuru's keynote presentation at Monetate Summit 2014, "The State of Mobile Commerce in 2014," explored key data points around how consumers transact on phones and tablets, their preferences for mobile site experiences, and the current state of mobile investments by today's leading eBusinesses.
This lesson plan aims to teach 6th grade students about fractions and music. Students will learn about fractions less than one and recognize different note values. They will be evaluated through clicker questions during class and participation in games. The lesson uses a SMART board for an interactive math lecture on fractions and note recognition. Students will then split into groups to play math and music games on the board and Wii. The plan incorporates diversity by allowing different musical tastes and addressing multiple intelligences. Technology like the SMART board and Wii engages students in an interactive lesson.
SlideShare is the world's largest community for sharing presentations, with over 60 million visitors per month. Content on SlideShare spreads virally through social media like LinkedIn, Facebook, and Twitter. Individuals and organizations use SlideShare to share ideas, conduct research, and connect with others.
This document discusses low power VLSI design. It defines power dissipation as being either static, from leakage current, or dynamic, from transistor switching activities. The key strategies for low power design are reducing supply voltage, physical capacitance, and switching activity. Specific techniques mentioned include clock gating, power gating, reducing chip capacitance, scaling voltage, better design methods, and power management. The document also discusses calculating and minimizing switching activity and using CAD tools at different design levels.
The document outlines a semi-detailed lesson plan in math for grade 6 students about solving water meter consumption. The objectives are to read and interpret water meter readings, solve for water consumption, and apply the concepts to real life. The lesson plan includes an introduction, review of the previous lesson, motivation activities, teaching the process to compute consumption by subtracting present from previous readings in cubic meters and liters, practice problems, a video on water conservation, and homework assignments involving word problems about water consumption.
The document discusses the greenhouse effect, where greenhouse gases like carbon dioxide, methane, and chlorofluorocarbons in the atmosphere trap heat radiating from the Earth, causing the planet to warm. These gases prevent infrared radiation emitted from the Earth's surface from escaping into space, similarly to how a greenhouse retains heat. As a result of increased greenhouse gases from human activities such as burning fossil fuels and deforestation, the Earth's average temperature is rising in global warming. Effects of the greenhouse effect include melting glaciers and rising sea levels, and steps to reduce it include using alternative energy sources and replanting trees.
The document discusses different types of braking systems used in vehicles. It describes parking brakes, service brakes, drum brakes, disc brakes, hydraulic braking systems, and anti-lock braking systems (ABS). The key components of braking systems like the master cylinder, calipers, rotors, and pads are explained. Common brake system issues and how to diagnose them are also covered.
This lesson plan outlines teaching students how to calculate the area of a triangle. It includes objectives of stating the area formula, drawing triangles, and cooperating in activities. Procedures include reviewing triangles, motivating with an example of cutting paper, deriving and practicing the area formula of 1/2 base x height, and sample problems finding area, base or height when given other values. An evaluation assesses applying the formula to find area, base or height in word problems.
Trading Power and Performance to Achieve Optimal Thermal Design for Battery-P...Mark Benson
Presentation at Communications, Microsystems, Optoelectronics, and Sensors (CMOS) in Vancouver, BC, by Mark Benson on July 18th, 2012.
ABSTRACT: In embedded systems there is a science to choosing the right processor for a given design. Usually processors are chosen based on objective factors such as peripheral support, physical packaging, memory, architecture family, and software tools available.
This type of quantitative analysis lends itself well to spreadsheets and formulas that can help choose which processor is best.
However, for small high-performance battery-powered devices that have heavy processing requirements, thermal performance quickly becomes an urgent design issue to overcome and is often viewed as an elusive and opinion-fraught black-art.
Modeling, managing, and characterizing the efficient dissipation of heat in these types of devices is complex. Fortunately, there are new processors coming to the embedded marketplace that have advanced features for managing thermal performance.
This, combined with new advances in software modeling techniques and algorithms gives us a fresh playground to innovate new ways to manage thermals in a way that was not previously possible.
A recent study out of Stanford University says that 1 out of 3 data center servers is a zombie. netTerrain Environmental Monitoring Module helps you fix that.
Find out how - with real-use scenarios and savings calculations.
This is a slide deck from a recent Graphical Networks webinar.
Mitsubishi energy saving data collecting server eco-webserverⅲ_dienhathe.vnDien Ha The
Khoa Học - Kỹ Thuật & Giải Trí: http://phongvan.org
Tài Liệu Khoa Học Kỹ Thuật: http://tailieukythuat.info
Thiết bị Điện Công Nghiệp - Điện Hạ Thế: http://dienhathe.vn
Mitsubishi energy saving data collecting server eco webserver ⅲ_dienhathe.vnDien Ha The
Khoa Học - Kỹ Thuật & Giải Trí: http://phongvan.org
Tài Liệu Khoa Học Kỹ Thuật: http://tailieukythuat.info
Thiết bị Điện Công Nghiệp - Điện Hạ Thế: http://dienhathe.vn
Mitsubishi electric energy saving data collection server eco webserveriiiDien Ha The
1. The document describes Mitsubishi Electric's EcoWebServer # energy-saving data collection server.
2. It allows monitoring and managing energy usage by department or production line through visualization. Target-based management of energy savings activities is also supported.
3. Key features include collecting data from various energy meters and controllers, displaying data and graphs on a web browser, setting targets and alerts, and integrating with other devices like air conditioners for demand control.
This document discusses energy efficiency of Android apps and how to improve it. It identifies that apps are often to blame for bad battery life due to poor coding practices that cause power bugs. It provides tips for optimizing aspects of apps like the screen, CPU, wireless radios, GPS, and best practices to avoid common power bugs and improve battery life. These include reducing brightness and using a dark color scheme for the screen, batching network requests, and using algorithms that are less computationally intensive.
Get an overview of Qualcomm Technologies, Inc.'s power and performance profiling tools, and how these tools can help you create more efficient apps. Covered topics include using profiling tools to determine whether your app exhibits behavior that causes excessive power consumption, how to resolve the top five power-related problems and more.
Watch this presentation on YouTube: https://www.youtube.com/watch?v=9Uc0qpMzfw0
Global Energy Consumption and alternative technologiesKnut Linke
Global energy consumption is increasing at 2.4% annually, straining resources and causing more pollution. Residential energy usage, especially for heating, cooling and hot water, accounts for a large portion of total usage. Alternative technologies like solar PV, solar thermal, and geothermal can help reduce energy consumption and shift to cleaner sources. These technologies are becoming more affordable with government incentives that cover 30% or more of installation costs, making them viable options for homeowners looking to save money and help the environment.
The document discusses various energy conservation measures that can be implemented in hotels to reduce energy costs and improve profitability. Some key measures mentioned include installing energy efficient machines, implementing auto controls and timers for HVAC and lighting systems, improving insulation and installing efficient windows, replacing incandescent bulbs with CFLs and LEDs, using occupancy sensors and natural light where possible, and educating guests and staff to turn off lights in unoccupied rooms. Taking these measures can help cut a hotel's energy costs by 10-15% and improve the bottom line.
The document discusses how to read an electric meter by explaining [1] how the dials work from right to left to indicate kilowatt-hours used, [2] how to resolve close readings between numbers, [3] how to read a sample 4-dial meter, and [4] how to calculate power usage from the meter's rotation code and time. It then provides examples of calculating power for different appliances by timing the meter's rotations with the appliance on and off.
This lesson plan outlines a 45-minute math session on slope and y-intercept. Students will determine slope and y-intercept from graphs and explain their real-world meanings. Activities include individual practice finding slopes, pair sharing to discuss methods, and group projects with assigned roles to complete graphing tasks. Formative assessment includes observation, samples, and exit tickets. Differentiation is provided through graph board activities, songs, visuals, and adjustable time limits.
How to Lower Android Power Consumption Without Affecting Performancerickschwar
The document discusses various ways mobile app developers can lower the power consumption of their apps without affecting performance. It begins by explaining that most apps do not efficiently use system resources like the processor, cellular radio, and display, wasting power and reducing battery life. It then provides tips for optimizing specific areas of power consumption, such as using the cellular radio efficiently by bundling network traffic, offloading tasks to hardware accelerators like the DSP to reduce CPU usage, and managing the display to minimize brightness. The document stresses that measuring power consumption is key, and provides tools developers can use to profile and optimize the power impact of their apps.
State of Mobile Commerce 2014 (Sucharita Mulpuru)Monetate
Sucharita Mulpuru's keynote presentation at Monetate Summit 2014, "The State of Mobile Commerce in 2014," explored key data points around how consumers transact on phones and tablets, their preferences for mobile site experiences, and the current state of mobile investments by today's leading eBusinesses.
This lesson plan aims to teach 6th grade students about fractions and music. Students will learn about fractions less than one and recognize different note values. They will be evaluated through clicker questions during class and participation in games. The lesson uses a SMART board for an interactive math lecture on fractions and note recognition. Students will then split into groups to play math and music games on the board and Wii. The plan incorporates diversity by allowing different musical tastes and addressing multiple intelligences. Technology like the SMART board and Wii engages students in an interactive lesson.
SlideShare is the world's largest community for sharing presentations, with over 60 million visitors per month. Content on SlideShare spreads virally through social media like LinkedIn, Facebook, and Twitter. Individuals and organizations use SlideShare to share ideas, conduct research, and connect with others.
This document discusses low power VLSI design. It defines power dissipation as being either static, from leakage current, or dynamic, from transistor switching activities. The key strategies for low power design are reducing supply voltage, physical capacitance, and switching activity. Specific techniques mentioned include clock gating, power gating, reducing chip capacitance, scaling voltage, better design methods, and power management. The document also discusses calculating and minimizing switching activity and using CAD tools at different design levels.
The document outlines a semi-detailed lesson plan in math for grade 6 students about solving water meter consumption. The objectives are to read and interpret water meter readings, solve for water consumption, and apply the concepts to real life. The lesson plan includes an introduction, review of the previous lesson, motivation activities, teaching the process to compute consumption by subtracting present from previous readings in cubic meters and liters, practice problems, a video on water conservation, and homework assignments involving word problems about water consumption.
The document discusses the greenhouse effect, where greenhouse gases like carbon dioxide, methane, and chlorofluorocarbons in the atmosphere trap heat radiating from the Earth, causing the planet to warm. These gases prevent infrared radiation emitted from the Earth's surface from escaping into space, similarly to how a greenhouse retains heat. As a result of increased greenhouse gases from human activities such as burning fossil fuels and deforestation, the Earth's average temperature is rising in global warming. Effects of the greenhouse effect include melting glaciers and rising sea levels, and steps to reduce it include using alternative energy sources and replanting trees.
The document discusses different types of braking systems used in vehicles. It describes parking brakes, service brakes, drum brakes, disc brakes, hydraulic braking systems, and anti-lock braking systems (ABS). The key components of braking systems like the master cylinder, calipers, rotors, and pads are explained. Common brake system issues and how to diagnose them are also covered.
This lesson plan outlines teaching students how to calculate the area of a triangle. It includes objectives of stating the area formula, drawing triangles, and cooperating in activities. Procedures include reviewing triangles, motivating with an example of cutting paper, deriving and practicing the area formula of 1/2 base x height, and sample problems finding area, base or height when given other values. An evaluation assesses applying the formula to find area, base or height in word problems.
Trading Power and Performance to Achieve Optimal Thermal Design for Battery-P...Mark Benson
Presentation at Communications, Microsystems, Optoelectronics, and Sensors (CMOS) in Vancouver, BC, by Mark Benson on July 18th, 2012.
ABSTRACT: In embedded systems there is a science to choosing the right processor for a given design. Usually processors are chosen based on objective factors such as peripheral support, physical packaging, memory, architecture family, and software tools available.
This type of quantitative analysis lends itself well to spreadsheets and formulas that can help choose which processor is best.
However, for small high-performance battery-powered devices that have heavy processing requirements, thermal performance quickly becomes an urgent design issue to overcome and is often viewed as an elusive and opinion-fraught black-art.
Modeling, managing, and characterizing the efficient dissipation of heat in these types of devices is complex. Fortunately, there are new processors coming to the embedded marketplace that have advanced features for managing thermal performance.
This, combined with new advances in software modeling techniques and algorithms gives us a fresh playground to innovate new ways to manage thermals in a way that was not previously possible.
A recent study out of Stanford University says that 1 out of 3 data center servers is a zombie. netTerrain Environmental Monitoring Module helps you fix that.
Find out how - with real-use scenarios and savings calculations.
This is a slide deck from a recent Graphical Networks webinar.
Mitsubishi energy saving data collecting server eco-webserverⅲ_dienhathe.vnDien Ha The
Khoa Học - Kỹ Thuật & Giải Trí: http://phongvan.org
Tài Liệu Khoa Học Kỹ Thuật: http://tailieukythuat.info
Thiết bị Điện Công Nghiệp - Điện Hạ Thế: http://dienhathe.vn
Mitsubishi energy saving data collecting server eco webserver ⅲ_dienhathe.vnDien Ha The
Khoa Học - Kỹ Thuật & Giải Trí: http://phongvan.org
Tài Liệu Khoa Học Kỹ Thuật: http://tailieukythuat.info
Thiết bị Điện Công Nghiệp - Điện Hạ Thế: http://dienhathe.vn
Mitsubishi electric energy saving data collection server eco webserveriiiDien Ha The
1. The document describes Mitsubishi Electric's EcoWebServer # energy-saving data collection server.
2. It allows monitoring and managing energy usage by department or production line through visualization. Target-based management of energy savings activities is also supported.
3. Key features include collecting data from various energy meters and controllers, displaying data and graphs on a web browser, setting targets and alerts, and integrating with other devices like air conditioners for demand control.
Mitsubishi electric energy saving data collection server eco webserveriii-die...Dien Ha The
1. The document describes Mitsubishi Electric's EcoWebServer # energy-saving data collection server.
2. It allows monitoring and managing energy usage by department or production line through visualization. Target-based management of energy savings activities is also supported.
3. The server collects energy data from various measuring units and controllers and makes it available on a web browser through its HTTP server function for analysis and improving energy efficiency.
How to achieve 95%+ Accurate power measurement during architecture exploration? Deepak Shankar
Mirabilis Design is a software company that develops VisualSim Architect modeling and simulation software to optimize system specifications prior to development. The software enables power-performance-area modeling and simulation of semiconductor systems and software. It uses dynamic simulation and evaluation of power, timing, and behavior using a single system model. This achieves 95%+ accurate power measurement during architecture exploration. The software separates behavior and architecture and supports multiple abstraction levels in a single model to optimize system designs early in the development process.
This document discusses performance-oriented design and what metrics should be measured. It emphasizes that performance is important and organizations should care about it. Key metrics that should be measured include arrival rate, service time, throughput, queues, method counts, response times, and other application and system-level metrics. References for further reading on performance engineering and capacity planning are also provided.
This document summarizes a presentation on CloudSim, a toolkit for modeling and simulating cloud computing environments. CloudSim allows modeling resources and services in cloud data centers and testing application services. It features discrete event-driven simulation of large cloud environments and supports modeling virtualized resources, data centers, and network connections. CloudSim has advantages for testing policies in a repeatable and controllable environment and tuning systems before real deployment. The presentation outlines CloudSim's architecture, modeling capabilities, simulation steps, and concludes with discussions of conclusions and future work, as well as green cloud computing.
I presented "Cloudsim & Green Cloud" in First National Workshop of Cloud Computing at Amirkabir University on 31st October and 1st November, 2012.
Enjoy it!
OK Labs - Virtualization as the Nexus of Multicore Power ManagementOpen Kernel Labs
ARM TechCon Session "Virtualization as the Nexus of Multicore Power Management"
Thursday, November 11, 2010
Adoption of multicore technology for the desktop,data center and embedded designs responds to comparable needs – to scale compute capacity without stepping up system clocks and to attain more MIPS-per-watt for devices and applications. Multicore for the desktop and data center enjoys mature support from deployed OSes. Even as embedded OSes become more adept at running on multicore CPUs, applications and middleware still face challenges of thread-safety, concurrency and load balancing. Mobile virtualization is a means to get maximum value from multicore ARM designs, at both architectural and app levels. It examines multicore use cases for virtualization, and how it brings superior CPU utilization,greater security, smoother legacy migration,& smarter energy management to multicore designs.
Evaluating computers involves considering metrics like latency, throughput, bandwidth, cost, power, and reliability. Latency refers to how long a single task takes and is usually measured in seconds or clock cycles. Performance is defined as the inverse of latency, so a system with lower latency is considered higher performing. Amdahl's Law states that the overall speedup from optimizing a portion of a system is limited by the percentage of time spent in that portion. It is important for determining whether optimizations are worthwhile.
The document summarizes techniques for reducing power consumption and heat generation in a wireless flat panel x-ray detector. It discusses using wake on wireless LAN to wake the device from sleep on a browser refresh. It also covers using CPU performance scaling, Intel C-states, and Linux sleep states to decrease power usage. Testing showed putting components to sleep ("mem" state) and adding CPU frequency scaling reduced the maximum carbon cover temperature by 5-6 degrees Celsius.
The document discusses energy efficiency in cloud computing. It outlines that data center energy costs make up a large portion of total costs, with energy-related costs being 31% alone. It then discusses current approaches to improving energy efficiency, including more efficient hardware, minimizing power usage in clusters and networks, and distributed energy-efficient schedulers. The document also discusses how cloud computing can move towards being more energy efficient through virtualization, consolidation techniques, and cooling improvements. The conclusions state that the future is energy-aware data centers and green computing, and that current technologies allow leveraging energy efficiency at different levels.
This document summarizes a research paper on a user-aware power management system for mobile devices. The proposed system consists of four main components: a user-space client, a sleep time manager, a sleep level controller, and a battery timer. It aims to extend battery life by controlling when devices suspend, shut off, or reboot based on the determined sleep patterns of users. Evaluation of the system showed that it could save 18-34% more power compared to existing systems.
A Spanish city council implemented power management software on 3000 computers to automatically put computers into low-power sleep states during periods of inactivity. The software tracked computer power states and user activity every second. It found that after implementing the software, computers spent more time in low-power sleep states, saving an estimated 53.9 kWh per computer per year. This was within 1.1% of projections, meeting the customer's goal of less than 20% deviation from projected savings.
1. The document discusses research activities related to reducing energy consumption by at least 30% through the development of core source technologies for universal operating systems.
2. It describes four papers being presented, including ones on system and device latency modeling, power management frameworks for embedded systems, and automatic selection of power policies for operating systems.
3. It also summarizes four research topics from the National University, including performance evaluation of parallel applications using a power-aware paging method on next-generation memory architectures.
A short tech show on how to achieve VM HA by integrating Heat, Ceilometer and Nova; and another show about deploying a cluster of VMs across multiple regions then scale it.
Tanay Nagjee - Electric Cloud - Better Continuous Integration with Test Accel...DevOps Enterprise Summit
Stop sacrificing comprehensive testing to save time
Software testers and quality assurance engineers are often pressured to cut testing time to ensure products are released on time. Usually this means running fewer tests, thus reducing software quality. This pressure is exacerbated as companies embrace a continuous integration (CI) approach which involves frequent build and test cycles, but has the side effect of further limiting the time allocated to test and analysis. Instead of reducing the number of tests in a CI cycle to reduce test time, Tanay Nagjee will discuss how entire test suites can be broken down and parallelized, reducing the time to run them by 80% or more. By leveraging a cluster of computing horsepower (either on-premise physical machines or in the cloud), large test suites can execute in a fraction of the time it takes by smartly parallelizing their individual tests. Tanay will outline a 3-step approach to achieve these results with different test frameworks. He will discuss the tools used, and will present real example data and a live demonstration.
- Little Rock introduces a new low-power co-processor module integrated into phones to offload continuous sensor tasks, allowing the main processor to sleep more. This improves battery life while providing continuous sensing functionality.
- METIS explores opportunistically offloading phone sensing to fixed sensors embedded in the environment. An exploratory deployment found this can reduce energy costs on phones in certain conditions compared to local sensing alone.
- KOBE is a tool that aids developing mobile classifiers to optimize the energy-latency-accuracy tradeoff. It profiles and optimizes classifiers via a SQL-like interface and adaptive runtime to identify optimal configurations for different environments and resource constraints.
Similar to Learn about energy consumption and battery life on Android devices (20)
Android Services Black Magic by Aleksandar GargentaMarakana Inc.
Presented at Android Builders Summit on February 14th in Redwood Shores, CA by Aleksandar (Saša) Gargenta, from Marakana Inc.
For the complete slides from this talk go to http://mrkn.co/munz7
"The most interesting part of Android stack are the Android System Services. The 60+ such services expose the low level functionality, such as Power Management, Wifi, Camera, Sensors, GPS, Display, Audio, Media, and so on, all the hardware all the way up to the application layer. While each one is different, the all have certain similarities, namely the way they rely on Binder (Android's IPC mechanism), use JNI to cross Java-C boundary, and use of shared libraries to abstract the Linux drivers. In this talk, we'll explore the common system services in Android and discuss their architecture. You will get to see the diagrams of the inner workings of some of the previously undocumented parts of the Android stack. By the end of the talk, you should have a better understanding of the underpinnings of the backbone of Android OS."
https://events.linuxfoundation.org/events/android-builders-summit/gargentaa
The document discusses Square's experience using JRuby for Rails development and deployment. It explores various options for deploying JRuby Rails apps, like Warbler, Trinidad, Kirk, Mizuno and Jetpack. It finds that Jetpack works best as it presents the Ruby app to Jetty as a Java web app. The document also covers optimizations for JRuby performance, writing cross-compatible Ruby code, and testing apps on multiple versions of Ruby. It concludes that the cost of cross-ruby compatibility is low and that JRuby is worth using unless there is a good reason not to.
BDD is an agile methodology that focuses on defining desired software behaviors and outcomes through user stories and scenarios. Stories are written collaboratively with stakeholders using a ubiquitous language. Teams practice outside-in development by writing automated tests derived from stories before writing code. Stories are pulled from a prioritized backlog for development in short iterations. Automated tests provide feedback and documentation. Reports are generated for stakeholders.
Scala Next focused on the continued adoption and development of Scala. Key points included:
- Scala adoption has grown significantly in areas like web platforms, trading platforms, and financial modeling. The number of Scala jobs tripled in the last year.
- Recent Scala versions like 2.8 and 2.9 included improvements to collections, implicits, and tooling support.
- Scala 2.10 will focus on new reflection capabilities, reification, string interpolation, and continued IDE improvements.
- The Play Framework 2.0 will provide a Scala-centric web framework built on top of Akka and the Typesafe Stack.
The document introduces Hierarchy, a new technology that adds hierarchical data structures to Java. It allows defining hierarchical data like XML and JSON in Java code. Hierarchy provides benefits like easier creation and use of hierarchical data, a dedicated data structure for it, and a way to define fields universally across different usages. The technology is still in development but shows potential to improve how hierarchical data is handled in Java applications and enable new architectural styles.
Video at http://mrkn.co/andsec
With Android activations reaching a million devices per day, it is no surprise that security threats against our favorite mobile platform have been on the rise.
In this session, you will learn all about Android's security model, including application isolation (sandboxing) and provenance (signing), its permission system and enforcement, data protection features and encryption, as well as enterprise device administration.
Together, we will dig into Android's own internals to see how its security model is applied through the entire Android stack - from the Linux kernel, to the native layers, to the Application Framework services, and to the applications themselves.
Finally, you’ll learn about some of the weaknesses in the Android's model (including rooting, tap-jacking, malware, social-engineering) as well as what can be done to mitigate those threats, such as SE-Linux, memory protection, anti-malware, firewall, and developer best practices.
By the end of this session you will have a better understanding of what it takes to make Android a more trusted component of our personal and professional lives.
Learn hot techniques for developing more effective user interfaces in your Android applications from Android UI Toolkit engineers Romain Guy and Chet Haase.
Romain and Chet will dive into some code examples, demo some handy tools, and show you how to optimize your UI for performance while making it look great.
Didn't make it to Devoxx 2K10? Well, find out what you might have missed, as this is the same presentation Romain and Chet delivered at Devoxx.
A few topics covered in this talk include:
- Trash Talk: avoiding garbage creation when necessary and possible
- Tools: Allocation Tracking, DDMS, Heap Analysis Tool (hat), etc.
- A few tips on avoiding memory leaks
- ViewStub, ListView and compound drawables
- Graphics optimization techniques
This document discusses integration testing frameworks like Cucumber, Webrat, and Capybara as well as Selenium. It describes how to build page object models in Selenium to help with test organization, readability, and reuse. Locators, waiting rules, and custom RSpec matchers are also covered. The benefits of test-driven development like better code design, focus, documentation, and avoiding test debt are highlighted.
Efficient Rails Test-Driven Development - Week 6Marakana Inc.
Learn how to apply the test-first approach to all of your Rails projects. In this six class series, experienced Rails engineer and consultant, Wolfram Arnold applies his real-world perspective to teaching you effective patterns for testing.
In this sixth of six classes, Wolf discusses:
- Integration frameworks (Cucumber, Webrat, Capybara, and Selenium)
- Integration testing with Selenium (advantages and problems)
- Page Objects
- Locators (Selenium, CSS and XPath locators
- RSpec Custom Matchers
- Testing for Access Control
** You can get the slides and source code from this presentation at: http://marakana.com/f/215 **
Find more videos, tutorials, and code examples at http://marakana.com/techtv
This document provides an overview of graphics and animations in Android. It discusses the architecture including surfaces, views, and view groups. It covers graphics topics such as Skia, OpenGL, rendering scripts, surfaces, and drawing with canvases, paints, shaders, color filters, and bitmaps. It also discusses animations including the animation superclass, transformation, fading, sequence, cross-fading, and layout animations. It provides tips on performance and previews future property animation capabilities in Android.
What's this jQuery? Where it came from, and how it will drive innovationMarakana Inc.
In this talk jQuery Project Team member, Johnathan Sharp, sets out to answer four main questions: Where'd jQuery Come from?, Why is it so popular? How has it changed development? How will it drive innovation?
**see the video at http://marakana.com/f/211 **
In the scope of his talk Johnathan also touches on:
- What jQuery is not
- Core concepts to understand before jumping into jQuery (CSS & Selectors, DOM, Events, JavaScript patterns, etc)
- jQuery core, and jQuery project plugins
- The larger jQuery Project including the jQuery core, jQuery UI, and jQuery mobile
- jQuery going forward (HTML5, mobile browser support, official Plugins, growing corporate support services)
Finally, Johnathan will dig deep into a few code demos to illustrate some of the core concepts of jQuery, like events, JavaScript patterns, and making Ajax requests.
jQuery State of the Union - Yehuda KatzMarakana Inc.
What's the state of the most popular JavaScript library today? What's in-store for upcoming releases?
At an event hosted at Microsoft on November 9th, 2010, Yehuda Katz (a core member of the jQuery team) talked about updates planned for jQuery 1.4.3 and plans for jQuery 1.5, due next year.
He also covers:
- initiatives of the jQuery project
- jQuery mobile
- formalizing governance of the jQuery project
** See the video at: http://marakana.com/f/12312 **
Stay tuned for videos of these presentations from this event:
- jQuery jump start (15 min. session to get you productive with the JavaScript frameworks)
- jQuery with ASP.NET and Visual Studio Walk-through
- How jQuery continues to drive innovation with emerging technologies
In the meantime, check out more videos on open source development at: http://marakana.com/techtv
Pics from: "James Gosling on Apple, Apache, Google, Oracle and the Future of ...Marakana Inc.
Pictures from an event where James Gosling spoke on:
- His departure from Oracle
- Oracle's stewardship of Java
- Android's use of Java, and what he would've done
- Apple's "deprecation" of Java
- IBM and Oracle relationship
- Apache Software Foundation's recent worries
- What's he's been up to lately
- His other favorite programming languages and technologies
- The future of Java
** Check out the full video from his talk at: www.marakana.com/f/205 **
Efficient Rails Test Driven Development (class 4) by Wolfram ArnoldMarakana Inc.
Learn how to apply the test-first approach to all of your Rails projects. In this six class series, experienced Rails engineer and consultant, Wolfram Arnold applies his real-world perspective to teaching you effective patterns for testing.
In this fourth of six classes, Wolf covers:
- Refactoring code & tests, custom matchers
- API Testing
- Remote data setup
- Cucumber for API testing & documentation
** You can get the video and source code from this presentation at: http://marakana.com/f/204 **
All six classes will be available online, so stay tuned! And be sure to check out marakana.com/techtv for more videos on open source training.
Presented by: Wolfram Arnold, in collaboration with Sarah Allen, BlazingCloud.net
Produced by: Marakana
Efficient Rails Test Driven Development (class 3) by Wolfram ArnoldMarakana Inc.
Learn how to apply the test-first approach to all of your Rails projects. In this six class series, experienced Rails engineer and consultant, Wolfram Arnold applies his real-world perspective to teaching you effective patterns for testing.
In this third of six classes, Wolf covers:
- Controller testing
- View, Helper, Routes Testing
- How much is enough? How much is too much?
** You can get the video and source code from this presentation at: http://marakana.com/f/201 **
All six classes will be available online, so stay tuned! And be sure to check out marakana.com/techtv for more videos on open source training.
Presented by: Wolfram Arnold, in collaboration with Sarah Allen, BlazingCloud.net
Produced by: Marakana
Learn about JRuby Internals from one of the JRuby Lead Developers, Thomas EneboMarakana Inc.
At an event hosted at LinkedIn on November 2, 2010, "JRuby Guy", Thomas Enebo gave us an introduction to JRuby internals, and what about the intenals a new contributor might need to know to get started in contributing to the JRuby project.
** check out the slides from this presentation at: http://marakana.com/f/197 **
At an event hosted at LinkedIn on November 2, 2010, Noah Gibbs delivers a presentation on how On-Site.com is using JRuby to leverage its old Java codebase.
** Check out the video from this presentation at: http://marakana.com/f/198 **
Noah talks about:
- The advantages of using JRuby to leverage a legacy Java codebase
- Integration with JSP pages
- Java models and Active Record wrappers
- Call Java-to-Ruby
...And if you're looking for more educational videos on Ruby and open-source, head on over to: http://marakana.com/techtv
Learn to Build like you Code with Apache BuildrMarakana Inc.
At an event hosted at LinkedIn on November 2, 2010, Antoine Toulme of Intalio gave a brief introduction to open-source build system Apache Buildr.
Buildr is a build system for Java-based applications that is built on Ruby's build system Rake, and uses Ruby as a scripting language.
** Check out the video of this presentation at: http://marakana.com/f/199 **
Executive Directors Chat Leveraging AI for Diversity, Equity, and InclusionTechSoup
Let’s explore the intersection of technology and equity in the final session of our DEI series. Discover how AI tools, like ChatGPT, can be used to support and enhance your nonprofit's DEI initiatives. Participants will gain insights into practical AI applications and get tips for leveraging technology to advance their DEI goals.
A review of the growth of the Israel Genealogy Research Association Database Collection for the last 12 months. Our collection is now passed the 3 million mark and still growing. See which archives have contributed the most. See the different types of records we have, and which years have had records added. You can also see what we have for the future.
The simplified electron and muon model, Oscillating Spacetime: The Foundation...RitikBhardwaj56
Discover the Simplified Electron and Muon Model: A New Wave-Based Approach to Understanding Particles delves into a groundbreaking theory that presents electrons and muons as rotating soliton waves within oscillating spacetime. Geared towards students, researchers, and science buffs, this book breaks down complex ideas into simple explanations. It covers topics such as electron waves, temporal dynamics, and the implications of this model on particle physics. With clear illustrations and easy-to-follow explanations, readers will gain a new outlook on the universe's fundamental nature.
A workshop hosted by the South African Journal of Science aimed at postgraduate students and early career researchers with little or no experience in writing and publishing journal articles.
How to Setup Warehouse & Location in Odoo 17 InventoryCeline George
In this slide, we'll explore how to set up warehouses and locations in Odoo 17 Inventory. This will help us manage our stock effectively, track inventory levels, and streamline warehouse operations.
This slide is special for master students (MIBS & MIFB) in UUM. Also useful for readers who are interested in the topic of contemporary Islamic banking.
This presentation was provided by Steph Pollock of The American Psychological Association’s Journals Program, and Damita Snow, of The American Society of Civil Engineers (ASCE), for the initial session of NISO's 2024 Training Series "DEIA in the Scholarly Landscape." Session One: 'Setting Expectations: a DEIA Primer,' was held June 6, 2024.
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...PECB
Denis is a dynamic and results-driven Chief Information Officer (CIO) with a distinguished career spanning information systems analysis and technical project management. With a proven track record of spearheading the design and delivery of cutting-edge Information Management solutions, he has consistently elevated business operations, streamlined reporting functions, and maximized process efficiency.
Certified as an ISO/IEC 27001: Information Security Management Systems (ISMS) Lead Implementer, Data Protection Officer, and Cyber Risks Analyst, Denis brings a heightened focus on data security, privacy, and cyber resilience to every endeavor.
His expertise extends across a diverse spectrum of reporting, database, and web development applications, underpinned by an exceptional grasp of data storage and virtualization technologies. His proficiency in application testing, database administration, and data cleansing ensures seamless execution of complex projects.
What sets Denis apart is his comprehensive understanding of Business and Systems Analysis technologies, honed through involvement in all phases of the Software Development Lifecycle (SDLC). From meticulous requirements gathering to precise analysis, innovative design, rigorous development, thorough testing, and successful implementation, he has consistently delivered exceptional results.
Throughout his career, he has taken on multifaceted roles, from leading technical project management teams to owning solutions that drive operational excellence. His conscientious and proactive approach is unwavering, whether he is working independently or collaboratively within a team. His ability to connect with colleagues on a personal level underscores his commitment to fostering a harmonious and productive workplace environment.
Date: May 29, 2024
Tags: Information Security, ISO/IEC 27001, ISO/IEC 42001, Artificial Intelligence, GDPR
-------------------------------------------------------------------------------
Find out more about ISO training and certification services
Training: ISO/IEC 27001 Information Security Management System - EN | PECB
ISO/IEC 42001 Artificial Intelligence Management System - EN | PECB
General Data Protection Regulation (GDPR) - Training Courses - EN | PECB
Webinars: https://pecb.com/webinars
Article: https://pecb.com/article
-------------------------------------------------------------------------------
For more information about PECB:
Website: https://pecb.com/
LinkedIn: https://www.linkedin.com/company/pecb/
Facebook: https://www.facebook.com/PECBInternational/
Slideshare: http://www.slideshare.net/PECBCERTIFICATION
Main Java[All of the Base Concepts}.docxadhitya5119
This is part 1 of my Java Learning Journey. This Contains Custom methods, classes, constructors, packages, multithreading , try- catch block, finally block and more.
4. How is Mobile Platform
Different?
Mobile8 Laptop9
Main Purpose Phone Calls Anything
Power Budget (Watts) 3 73
Size (cm) 11.9 x 5.98 x 1.15 2.41 x 14.35 x 9.82
Volume (cm3) 81.84 339.61
Cooling Passive Air Forced Air
5. Nexus One BOM *
* http://www.isuppli.com/News/Pages/Google-Nexus-One-Carries-$17415-Materials-Cost-iSuppli-Teardown-Reveals.aspx
7. Battery Technology[3]
• Three main problems
• Limited power density for
passive air cooled systems
• Slow battery energy density
growth
• 30-40% battery lifetime
increase
• Capacity degrades over time
[1] http://www.nexergy.com/
[2] Intel
8. Energy Budget [1]
Average Battery Size: 820 - 1150 mAh (3.7V)
Component Power Consumption
Cellular Model and RF Amplifier 1200mW
Application Processor 600mW
Memories 200mW
User Interface 300mW
Audio 300mW
Other (BT, Energy Manager, etc.) 400mW
Total ~3W
8
10. Power vs Energy
• Energy is power integrated over time
• Electic power is “the rate at which electrical energy is
transferred by an electric circuit”10
• Electric energy is the total amount of work that can
be done by electrons
• Analogy with driving a car
• MPH power
• Distance energy
11. Measuring Energy
• Use a model
• Google using this for Power
Android
Developer
Profiler Phone 1
• Battery Simulator
• Jeffrey Sharkey used Monsoon GPIB
• Digital Power Supply DC Power Supply
• Make your own!
13. User Protection in Mobile
Apps
• Mobile Apps should not drain excessive energy
• Most mobile apps considered “secondary”
• Mobile users want to protect battery life for primary uses
like phone call/sms, although this may change
• User Context should be included in App Design
• User context: Identity (user profile), Activity, Time, Location
• Programmers should have potential energy use, potential
loss of future phone use in mind
14. User Profiles Vary Greatly
• Normalized histogram of 53-day call history for 2 users
• Usage pattern varies dramatically
5
15. Different Ways to Look at
User Profile
• Based on different types of
profile measurements
• Call arrival prob (top)
• Ave remaining minutes
(bottom)
• Data, SMS use not shown
• Use user-profile to predict/
reserve battery energy
16. Energy Threshold
• For each time t, find energy level that satisfies all future
voice with some probability based on history
• Example:
85% Threshold
based on “binomial
call” assumption
• 85% probability that
calls from history
are served
• Measures how much
battery life is
needed
17. Two Example Days
• Depending on call day, different actions might be better
Light Call Heavy Call
18. Sample App – Data
Synchronization
• Created sample app that
syncs email/twitter
• Goal: Balance need for
repeated data
synchronizations (email,
Twitter) with future
energy needs
19. Energy Threshold Policy
• Policy using energy threshold:
1. Calculate remaining_syncs possible at time t:
remaining_syncs = (current battery-energy threshold)/(energy cost to sync)
2. Calculate sync_period given remaining syncs
sync_period = (next_recharge-current time)/remaining_syncs
Policy: If time since last sync > sync_period, synchronize
20. Simulation
• System Parameters:
- 16 hour discharge period (i.e. charge every night)
- Energy to synchronize measured from voltmeter
connected to phone
• Compare Markov Decision Process Policy
(considered optimal) with threshold 85%, periodic
sync policies
21. 20 Min Call Day
• Light Call Day: 20 Minutes
• On a light call day, we want to synchronize email
more often
• Dynamic adjust to
MDP1 85% type1 Period 5 Period 10
sync_both 220 220 191 95
mean_tau1 4.35 4.35 5.02 10.03 call load
dev_tau1 4.18 4.66 0.16 0.23
minutes
t(E=0)
20
960
20
960
20
960 960
20
• Remaining energy
E(T) 0.58 0.58 45.33 193.44 lower for 85%
• Note: 960 = 16 Hours in minutes threshold
• Note 2: Battery is out of 400 (instead of
100) • Syncs More
22. 121 Min Call Day
• Heavy Call Day: 121 Minutes
• On heavy day, we want to synchronize less to
ensure battery life for calls
• Dynamic adjust to
MDP1 85% type1 Period 5 Period 10 call load
sync_both 23 23 99 59
mean_tau1 39.88 41.43 5.54 11.25 • Periodic syncs too
dev_tau1 116.48 119.5 3.25 5.27 much
minutes 121 121 82 102
t(E=0) 960 960 566 668 – Battery dies
E(T) 0.43 1.52 0 0 before end of
day
– Calls not all
served
23. Things To Consider
• User Protection
• Ensure that phones reserve resources for its primary use
• Resource reserves calculated from phone usage profile
• App Specific
• Dynamically adjust app processes to user context
• What granularity of battery level reserve is needed?
• Does app have periodic or one-shot characteristic?
26. Traditional ways to do
Synchronization
• Initial effort: to make adoption as easy as possilbe
• So what are people using to sync?
• Timer
• Handler
• AsyncTask
• Make a optimized version of those APIs
27. Traditional ways to do
Synchronization (1 of 3)
• Periodically wake up
• Pull remote/local changes
• Update local/remote data
• Optionally update UI to notify user
• TimerTask fetchMail = new FetchMail();
//perform the task once a day at 4 a.m.,
//starting tomorrow morning
Timer timer = new Timer();
timer.scheduleAtFixedRate(fetchMail,
getTomorrowMorning4am(), fONCE_PER_DAY);
28. Traditional ways to do
Synchronization (2 of 3)
• Handler
• private final Handler mHandler = new Handler() {
public void handleMessage(Message msg) {
// Do the deed.
// Repeat every 1 second.
sendMessageDelayed(obtainMessage
(TICK_MSG), 3 * 1000);
}
};
29. Traditional ways to do
Synchronization (3 of 3)
• AsyncTask
private class DownTask extends AsyncTask<URL, Integer, Long> {
protected Long doInBackground(URL... urls) {
}
protected void onProgressUpdate(Integer... progress) {
setProgressPercent(progress[0]);
}
protected void onPostExecute(Long result) {
showDialog("Downloaded " + result + " bytes");
}
}
30. New SyncAdapter in
Android 2.0
• Google goes one step further
• A framework for Account, Contact and Sync
management
• The new Account&Sync settings
• A little rough around the edges
31. Authentication
• Starting point: AuthenticationService
• listen for intent: android.accounts.AccountAuthenticator
• meta-data: unique accountType and other resources
• onBind: return Authenticator's binder
• Authenticator: AbstractAccountAuthenticator
• Override methods to provide implementation
• getAuthToken; addAccount; confirmCredentials
• AuthenticatorActivity: The login Screen
32. Synchronization
• Starting point: SyncService
• listen for intent: android.content.SyncAdapter
• meta-data: info about syncAdapter and contact structure
• onBind: return SyncAdapter binder
• SyncAdapter: AbstractThreadedSyncAdapter
• onPerformSync: pull data and update using
ContactContract API
35. Parsers [11]
• Mainly two types
• Tree (ex. DOM)
• Event (ex. SAX)
• Consider how much of the information is used
• Tree pre-parses everything, but is take initial hit
• Event parses as needed, but spread out
36. Radios[11]
• Check if connectivity is available
• Reduce data
• Tradeoff computation and communication
• Bluetooth slow but, low power
• Wi-fi fast, but high power
• Can use GZip compression
37. Wake Locks / Services
• Be conservative
• Give user a choice
• Can keep phone on
longer than necessary • Consider
AlarmManager instead
• Do you really need it?
• setInexactRepeating
• Services should be to allow binning
short not daemons
38. Use the Cloud, Luke
• More scalable
• Offloads power usage
• Might be more efficient
• Communication
• energy cost could be an issue
• RESTful interface
39. Profiling
• Hit the big targets first • Traceviewer
• Consider native code • Recycle Java objects
• floating point • Hierarchyviewer
• matrices • Decrease height of
view hierarchy
• long loops
• Think like C++
40. Sensors
• Different rates available
• UI, Gaming, Normal, etc
• Almost 10x difference from Fastest and Slowest
• GPS
• Coarse location much cheaper (10x less)
• No satellites, LocationManager keeps looking
• Let LocationManager find best one for you
43. Motivation
• Industry collects est. 13 million phones each year
• Estimated to be only 10% of 130 million taken out of
service
• ReCellular found 80% were still functional
• Surveys find people replace about every 4 years
• Battery worn out
• Want something new
44. Challenges
• ReCellular received 1,100 different handset in 2008
• Heterogeneity
• Operating System
• Accelerators
• Radios
• Searching configurations for optimal energy point to
run repurposed phone at
45. Solution(s)
• Artificial Intelligence to find best operating point
• Solar panel power supply to remove worn battery
• Use offline Markov Decision Process to find optimal
point
46. References
1. Cellular Phones as Embedded Systems, Yrjö Neuvo, International Solid-State Circuits Conference
2004
2. Augmented Smartphone Applications Through Clone Cloud Execution
3. UC Davis EEC216 - Professor Rajaveen Amirtharajah
4. Powermonkey-eXplorer, https://powertraveller.com/iwantsome/primatepower/powermonkey-explorer/
5. Lumedyne V-Power Energy Harvester Technology, http://www.lumedynetechnologies.com/Energy
%20Harvester.html
6. Thermo Life, http://www.poweredbythermolife.com/thermolife.htm
7. Geared Turbine, http://www.kidwind.org/xcart/product.php?productid=42&cat=4&page=1
8. http://www.google.com/phone/static/en_US-nexusone_tech_specs.html
9. http://www.apple.com/macbookpro/specs.html
10. http://en.wikipedia.org/wiki/Electric_power
11. http://dl.google.com/io/2009/pres/W_0300_CodingforLife-BatteryLifeThatIs.pdf
12. http://www.recellular.com/images/ReCel_Sustainability.pdf
46
47. Thanks
Frank Maker
flmaker@ece.ucdavis.edu
fmaker@handycodeworks.com
Eric Jung
eajung@ucdavis.edu
Yichuan Wang
yicwang@ucdavis.edu
48. Binomial Call Energy
Threshold
: probability of call arrival based on call profile
: random variable denoting call arrival at time t
• Assume each call minute is a binomial variable with
probability
: CDF of remaining call time after time t
• Energy threshold :