SlideShare a Scribd company logo
1 of 8
Download to read offline
MTE PRESENTATION
Elevator Control
System
DELHI TECHNOLOGICAL
UNIVERSITY
YASH GUPTA
2K20/EC/241
NEXT
AIM
The project's purpose is to create and install an elevator/lift controller using Verilog. The Elevator Controller is a device that
controls the motion of a lift while also displaying information such as trip directions, current floor level, and so on. To regulate
the lift motion, the device generates control signals and accepts the floor level as input. The elevator control is built on a shift
register, three elevator cases, and a while loop when you receive the Request Floor.
Introduction
This project is for an elevator controller with eight floors. of an integrated circuit that
can be utilized in an elevator controller. By comparing the requested floor to the
present floor, the elevator determines which way to move. The weight must be less
than 4500 pounds, and the door must close in less than three minutes. If the weight
exceeds it, the elevator will immediately sound an alarm. The Door Alert signal is
generally low, but when the door is left open for more than three minutes, it becomes
high. Each floor contains a sensor that detects whether the elevator has passed the
current floor. The core parts of the design are the shift register, three cases of the
elevator, and the while loop when receive Request Floor. The key advantage of
VERILOG when used for designing a system is that it allows the behaviour of the
required system to be described (modelled) and verified (simulated) finally synthesis
tools translate the raw design into a real hardware. VERILOG project is multipurpose
one. Being created once, the block can be used in many projects. However, many
formational and functional block parameters can be tuned such as capacity
parameters, memory size, element base, block composition and interconnection
structure.
Implementaion Idea
In the coding portion, I used a variety of methods to make the programme work.
I titled the input and output current floors In Current Floor and Out Current Floor to avoid having the same variable name as the
output and input. Second, we provide two additional input pins in the code: Over Time and Over Weight. These signals will be
sent to the controller by the mechanical mechanism. When the controller receives a signal from a weight alert or a door alert, the
complete will become one, letting the elevator to remain stationary at the Out Current Floor.
Third, assign regs to the output for the Out Current Floor, Direction, Complete, Door Alert, and Weight Alert. As a result, such
variables can act as a register as well as an output. The variables Complete, Door Alert, and Weight Alert are all set to zero when
the Reset is switched off. The variable In Current Floor is only set to equal Out Current Floor once when the Request Floor is
enabled. Out Current Floor then changes (updates) and compares to Request Floor until Out Current Floor equals Request Floor,
while In Current Floor remains constant.
Implementation
Case A
When Request floor = 00000001; In_Current_floor = 10000000
The elevator moves up from eighth floor to ground floor.
Implementation
Case B
When Request floor = 10000001; In_Current_floor = 00000001
The elevator moves up from ground floor to eighth floor.
The report explains the design of the Elevator Control System proposed using Verilog and is implemented using the ModelSim
software tool. This project presented the basic ideas of how normal elevators work in many cases, even though it is simplified.
Finally, the elevator controller's operation was confirmed, tested, and the results were plotted.
Conclusion
Samir Palnitkar, Verilog HDL: A Guide to Digital Design and Synthesis, Prentice Hall Proffesional 2003
T. R. Padmanabhan; B. Bala Tripura Sundari, "Introduction to Verilog," in Design Through Verilog HDL


Morris Mano, Michael D. Ciletti: Digital Design with an Introduction to the Verilog HDL
Wakerly, John F:"Digital Design Principles and Practices"
References

More Related Content

What's hot

Fire Detector And Extinguisher Robot- Project Report
Fire Detector And Extinguisher Robot- Project ReportFire Detector And Extinguisher Robot- Project Report
Fire Detector And Extinguisher Robot- Project ReportRobolab Technologies Pvt. Ltd
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLE2MATRIX
 
Traffic Control Signalling
Traffic Control SignallingTraffic Control Signalling
Traffic Control Signallingnagalaxmis
 
Introduction to automation
Introduction to automationIntroduction to automation
Introduction to automationValai Ganesh
 
Lcd interfacing with microprocessor 8051
Lcd interfacing with microprocessor 8051Lcd interfacing with microprocessor 8051
Lcd interfacing with microprocessor 8051Hasnain Yaseen
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Kyle Zheng
 
System verilog control flow
System verilog control flowSystem verilog control flow
System verilog control flowPushpa Yakkala
 
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAI
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAIABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAI
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAIDEEPAK GORAI
 
Hybrid converter
Hybrid converterHybrid converter
Hybrid converterNiraj Muley
 
Basic plc
Basic plcBasic plc
Basic plcmnamky
 
Industrial automation (PLC, SCADA, VFD & HMI)
Industrial automation (PLC, SCADA, VFD & HMI)Industrial automation (PLC, SCADA, VFD & HMI)
Industrial automation (PLC, SCADA, VFD & HMI)Praveen Ramola
 
PLC and SCADA in Industrial Automation
PLC and SCADA in Industrial AutomationPLC and SCADA in Industrial Automation
PLC and SCADA in Industrial AutomationNikhil nnk
 

What's hot (20)

Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
Plc (programming)
Plc (programming)Plc (programming)
Plc (programming)
 
Fire Detector And Extinguisher Robot- Project Report
Fire Detector And Extinguisher Robot- Project ReportFire Detector And Extinguisher Robot- Project Report
Fire Detector And Extinguisher Robot- Project Report
 
Electronic weighbridge
Electronic weighbridge Electronic weighbridge
Electronic weighbridge
 
Chapter 2 ladder
Chapter 2 ladderChapter 2 ladder
Chapter 2 ladder
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDL
 
Traffic Control Signalling
Traffic Control SignallingTraffic Control Signalling
Traffic Control Signalling
 
system verilog
system verilogsystem verilog
system verilog
 
Introduction to automation
Introduction to automationIntroduction to automation
Introduction to automation
 
Lcd interfacing with microprocessor 8051
Lcd interfacing with microprocessor 8051Lcd interfacing with microprocessor 8051
Lcd interfacing with microprocessor 8051
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...
 
System verilog control flow
System verilog control flowSystem verilog control flow
System verilog control flow
 
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAI
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAIABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAI
ABB ACS 800 SERIES VFD TRAINNING GUIDE BY DEEPAK GORAI
 
Plc
PlcPlc
Plc
 
Allen Bradley- Micrologix PLC Instructions
Allen Bradley- Micrologix PLC InstructionsAllen Bradley- Micrologix PLC Instructions
Allen Bradley- Micrologix PLC Instructions
 
Hybrid converter
Hybrid converterHybrid converter
Hybrid converter
 
Basic plc
Basic plcBasic plc
Basic plc
 
Industrial automation (PLC, SCADA, VFD & HMI)
Industrial automation (PLC, SCADA, VFD & HMI)Industrial automation (PLC, SCADA, VFD & HMI)
Industrial automation (PLC, SCADA, VFD & HMI)
 
PLC and SCADA in Industrial Automation
PLC and SCADA in Industrial AutomationPLC and SCADA in Industrial Automation
PLC and SCADA in Industrial Automation
 
Chapter2
Chapter2Chapter2
Chapter2
 

Similar to Elevator Control System using Verilog

Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...Dimuthu Darshana
 
Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...IJERA Editor
 
BS 2 Lift presentation 3
BS 2 Lift presentation 3BS 2 Lift presentation 3
BS 2 Lift presentation 3Est
 
BESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptxBESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptxManjunathtv2
 
Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA IJECEIAES
 
Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Rishabh Prakash
 
4.remote control mini forklift
4.remote control mini forklift4.remote control mini forklift
4.remote control mini forkliftSathis Kumar
 
DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2Stepan Mitkin
 
PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project Mahamudul karim Khondaker
 
Plc documentation final
Plc documentation finalPlc documentation final
Plc documentation finalSonu Kumar
 
Fuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane StructureFuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane Structureijeei-iaes
 
Automatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentationAutomatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentationDaniyalMeesum
 
Elevator Controllers
Elevator ControllersElevator Controllers
Elevator Controllerswallice3
 
Eee3420 lecture06 rev2011
Eee3420 lecture06 rev2011Eee3420 lecture06 rev2011
Eee3420 lecture06 rev2011benson215
 

Similar to Elevator Control System using Verilog (20)

Elevator1
Elevator1Elevator1
Elevator1
 
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
 
Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...
 
Project 1.2.1
Project 1.2.1Project 1.2.1
Project 1.2.1
 
mechanical transportation
mechanical transportationmechanical transportation
mechanical transportation
 
BS 2 Lift presentation 3
BS 2 Lift presentation 3BS 2 Lift presentation 3
BS 2 Lift presentation 3
 
BESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptxBESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptx
 
Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA
 
Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Internship Report (VTOL) (2)
Internship Report (VTOL) (2)
 
4.remote control mini forklift
4.remote control mini forklift4.remote control mini forklift
4.remote control mini forklift
 
Vt training plc_1
Vt training plc_1Vt training plc_1
Vt training plc_1
 
PLC 4.docx
PLC 4.docxPLC 4.docx
PLC 4.docx
 
Vt training plc_1
Vt training plc_1Vt training plc_1
Vt training plc_1
 
DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2
 
PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project
 
Plc documentation final
Plc documentation finalPlc documentation final
Plc documentation final
 
Fuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane StructureFuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane Structure
 
Automatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentationAutomatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentation
 
Elevator Controllers
Elevator ControllersElevator Controllers
Elevator Controllers
 
Eee3420 lecture06 rev2011
Eee3420 lecture06 rev2011Eee3420 lecture06 rev2011
Eee3420 lecture06 rev2011
 

More from VijayMaheshwari12

Image Steganography using MATLAB
Image Steganography using MATLABImage Steganography using MATLAB
Image Steganography using MATLABVijayMaheshwari12
 
Automated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontrollerAutomated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontrollerVijayMaheshwari12
 
Traffic Light Controller.pdf
Traffic Light Controller.pdfTraffic Light Controller.pdf
Traffic Light Controller.pdfVijayMaheshwari12
 
Image Steganography Project Report
Image Steganography Project ReportImage Steganography Project Report
Image Steganography Project ReportVijayMaheshwari12
 

More from VijayMaheshwari12 (6)

Image Steganography using MATLAB
Image Steganography using MATLABImage Steganography using MATLAB
Image Steganography using MATLAB
 
4-way-traffic-control.pptx
4-way-traffic-control.pptx4-way-traffic-control.pptx
4-way-traffic-control.pptx
 
Automated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontrollerAutomated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontroller
 
Traffic Light Controller.pdf
Traffic Light Controller.pdfTraffic Light Controller.pdf
Traffic Light Controller.pdf
 
Image Steganography Project Report
Image Steganography Project ReportImage Steganography Project Report
Image Steganography Project Report
 
1. Vector Analysis.pptx
1. Vector Analysis.pptx1. Vector Analysis.pptx
1. Vector Analysis.pptx
 

Recently uploaded

The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Christo Ananth
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 

Recently uploaded (20)

The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 

Elevator Control System using Verilog

  • 1. MTE PRESENTATION Elevator Control System DELHI TECHNOLOGICAL UNIVERSITY YASH GUPTA 2K20/EC/241 NEXT
  • 2. AIM The project's purpose is to create and install an elevator/lift controller using Verilog. The Elevator Controller is a device that controls the motion of a lift while also displaying information such as trip directions, current floor level, and so on. To regulate the lift motion, the device generates control signals and accepts the floor level as input. The elevator control is built on a shift register, three elevator cases, and a while loop when you receive the Request Floor.
  • 3. Introduction This project is for an elevator controller with eight floors. of an integrated circuit that can be utilized in an elevator controller. By comparing the requested floor to the present floor, the elevator determines which way to move. The weight must be less than 4500 pounds, and the door must close in less than three minutes. If the weight exceeds it, the elevator will immediately sound an alarm. The Door Alert signal is generally low, but when the door is left open for more than three minutes, it becomes high. Each floor contains a sensor that detects whether the elevator has passed the current floor. The core parts of the design are the shift register, three cases of the elevator, and the while loop when receive Request Floor. The key advantage of VERILOG when used for designing a system is that it allows the behaviour of the required system to be described (modelled) and verified (simulated) finally synthesis tools translate the raw design into a real hardware. VERILOG project is multipurpose one. Being created once, the block can be used in many projects. However, many formational and functional block parameters can be tuned such as capacity parameters, memory size, element base, block composition and interconnection structure.
  • 4. Implementaion Idea In the coding portion, I used a variety of methods to make the programme work. I titled the input and output current floors In Current Floor and Out Current Floor to avoid having the same variable name as the output and input. Second, we provide two additional input pins in the code: Over Time and Over Weight. These signals will be sent to the controller by the mechanical mechanism. When the controller receives a signal from a weight alert or a door alert, the complete will become one, letting the elevator to remain stationary at the Out Current Floor. Third, assign regs to the output for the Out Current Floor, Direction, Complete, Door Alert, and Weight Alert. As a result, such variables can act as a register as well as an output. The variables Complete, Door Alert, and Weight Alert are all set to zero when the Reset is switched off. The variable In Current Floor is only set to equal Out Current Floor once when the Request Floor is enabled. Out Current Floor then changes (updates) and compares to Request Floor until Out Current Floor equals Request Floor, while In Current Floor remains constant.
  • 5. Implementation Case A When Request floor = 00000001; In_Current_floor = 10000000 The elevator moves up from eighth floor to ground floor.
  • 6. Implementation Case B When Request floor = 10000001; In_Current_floor = 00000001 The elevator moves up from ground floor to eighth floor.
  • 7. The report explains the design of the Elevator Control System proposed using Verilog and is implemented using the ModelSim software tool. This project presented the basic ideas of how normal elevators work in many cases, even though it is simplified. Finally, the elevator controller's operation was confirmed, tested, and the results were plotted. Conclusion
  • 8. Samir Palnitkar, Verilog HDL: A Guide to Digital Design and Synthesis, Prentice Hall Proffesional 2003 T. R. Padmanabhan; B. Bala Tripura Sundari, "Introduction to Verilog," in Design Through Verilog HDL Morris Mano, Michael D. Ciletti: Digital Design with an Introduction to the Verilog HDL Wakerly, John F:"Digital Design Principles and Practices" References