SlideShare a Scribd company logo
1 of 8
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
DOI : 10.5121/vlsic.2011.2406 61
FAULT MODELING OF COMBINATIONAL AND
SEQUENTIAL CIRCUITS AT REGISTER TRANSFER
LEVEL
M.S.Suma1
and K.S.Gurumurthy2
1
Department of Electronics and Communication Engineering, R.V.College of
Engineering, Bangalore, India
ms_suma@yahoo.com
2
Department of Electronics and Communication Engineering, U.V.College of
Engineering, Bangalore, India
ABSTRACT
As the complexity of Very Large Scale Integration (VLSI) is growing, testing becomes tedious and
tougher. As of now fault models are used to test digital circuits at the gate level or below that level. By
using fault models at the lower levels, testing becomes cumbersome and will lead to delays in the design
cycle. In addition, developments in deep submicron technology provide an opening to new defects. We must
develop efficient fault detection and location methods in order to reduce manufacturing costs and time to
market. Thus there is a need to look for a new approach of testing the circuits at higher levels to speed up
the design cycle. This paper proposes on Register Transfer Level (RTL) modeling for digital circuits and
computing the fault coverage. The result obtained through this work establishes that the fault coverage
with the RTL fault model is comparable to the gate level fault coverage.
KEYWORDS
Automatic test pattern generation (ATPG), fault coverage, fault simulation, stuck-at fault, RTL.
1. INTRODUCTION
VLSI industry is growing as per Moore’s law and integrated circuit designs are accordingly
becoming more and more complex. As a result of this, VLSI testing has become expensive in
terms of cost. Existing gate level fault simulation techniques exhibit poor performance standards
when applied to such designs and are unsuitable for early testability analysis or fault simulations.
Current computer-aided design tools must address the needs for a new generation of integrated
circuits such as systems on chip. Test sequences consist of many thousands of test patterns, which
make gate-level fault simulation inappropriate due to its lengthy computational time. Also test
generation and fault simulation efforts in the post synthesis phase do not contribute to the
improvement in the design. Therefore, we need ATPG tools that reflect new design flows,
especially tools that work at a higher level of abstraction than gate-level.
Many high-level fault models and fault simulation techniques have been proposed. No single
fault model is universally acceptable since no fault model has been developed so far that
comprehensively covers all classes of circuits. The RTL description is at a higher level of
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
62
abstraction and may not cover all the gate level faults [2].To be widely accepted an RT-level fault
simulator must accept input formats in standard hardware description languages such as VHDL or
Verilog and should show high efficiency. Fault simulation plays a key role in ATPG systems;
including high-level ATPG. Gate-level fault simulation is not appropriate for large systems
because of long runtimes or large memory requirements. RT-level fault simulation may be the
only alternative for estimating the quality of tests generated using high-level ATPG [3]. A high-
level fault model should guarantee fault coverage comparable to the gate-level fault coverage
obtained for the same test sequence.
The fault model proposed by F.Corno, G.Cumani, M.Sonza Reorda and G.Squillero [2] adopts a
particular instantiation of the observability enhanced statement coverage metric in addition to the
single stuck-at bit faults on all assignments targets of the executed statements. The model implies
observability enhanced statement coverage by modeling one of the possible fault classes on
executed statements. This is an incomplete modeling of the various faults associated with the
RTL description of the circuit.
The fault model by Barry W. Johnson is developed via abstraction of industry standard single-
stuck-line (SSL) faults into the behavioral domain. A functional analysis technique was used to
evaluate the effects of the SSL faults on gate-level implementation. Since the gate-level netlist
changes drastically during logic synthesis, the authors in [4] concluded that modeling all possible
gate-level faults at the RTL is highly inefficient.
The RTL fault model and simulation approach proposed by Mao and Gulati [5] uses the single
stuck-at fault for each bit of all variables in the RTL model. The model employs both the RTL
description and functional verification patterns. But their approach required one to run fault
simulation twice, first in an optimistic mode and then in the pessimistic mode and to use the
average of the results to reduce the difference between the RTL and the gate-level fault coverage.
The experimental data shows as much as 10 % error between the actual gate-level fault coverage
and the RTL fault coverage.
Another fault model proposed by Devadas and Ghosh [6] is the Observability Enhanced
Statement Coverage Metric. This model requires that all statements in the RTL description are
executed at least once and that their effects are propagated to at least one primary output. As this
approach can be fruitfully exploited for the test pattern for fault simulation, more accurate results
are needed.
The fault model proposed by Karunaratne et al. [7] does not consider stuck-at faults in the signal
bit values and also not account for these faults. Also the process of locating the RTL faults and
mapping them to the corresponding Gate-Level faults is to be done. It is therefore desirable to
develop the fault model at a higher level of abstraction than the gate level. Fault simulation and
testing at the higher levels of abstraction have a better chance of being integrated well into the
overall design process.
Jose M.Fernandes et al. [8] has proposed a new probabilistic method for controllability evaluation
based on a traitorously selection of registers to form groups. This work needs further optimization
by computing the probabilistic impact of the simultaneous correction of different testability
problems.
Digital circuits are commonly designed at multiple levels of abstraction, including the layout,
transistor, gate, register-transfer (RTL) and behavioral levels. Designers describe circuits in a
hierarchical, top-down fashion, typically using computer-aided design (CAD) tools. To simplify
the design process, designers try to model circuits at a fairly abstract level. Conventional gate-
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
63
level implementation is hard to understand, i.e., poor readability. By modeling circuits at a higher
level, the number of primitive elements in a circuit is reduced, thus making the problem size more
tractable. This allows larger circuits to be handled in less time. The authors [9] conclude that over
1000 times reduction in test-generation time is achievable by performing automatic test pattern
generation (ATPG) at the RTL without any compromise in fault coverage.
2. METHODOLOGY
In this work Verilog Hardware Description Language is used for writing the RTL models.
Although extensive work has been done on Verilog based simulation and synthesis, test
generation and other test related issues are still to explore the capabilities of Verilog. The basic
assumption is that the components are fault free and only their interconnections are affected.
These map to the operators and variables in the RTL descriptions respectively. Gate level
primitives can be instantiated in a model using gate instantiation as these are supported for
synthesis. These primitive gates describe the hardware. Therefore synthesizing a gate primitive
generates logic based on the gate behavior which eventually gets mapped to the target technology
[1].Based on this the single stuck-at fault is modeled. The assumption is also that at most one
fault occurs at a time in the circuit.
The proposed fault model is an improvement over the model given by Karunaratne et al.
[6].Stuck-at faults in the signal bit values was not considered and accounted. Also the process of
locating the RTL faults and mapping them to the corresponding Gate-Level faults was not
implemented.
Figure 1: Design flow with the proposed method
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
64
The analysis flow for the modeling approach is of two ways as shown in Figure 1. One way
targets on the gate-level fault coverage while the other is on the RTL fault coverage. In the RTL
path, the RTL design description is obtained based on the specification. Since the fault model is at
the RTL, the fault is induced at the input and at the output. This is done by using a buffer for each
bit in all of the variables in the RTL code. These buffers are inserted in the fault free circuit and
should not disturb the functionality of the circuit. As a result, a modified faulty RTL circuit is
obtained. To enable fault simulation the process of generating faulty circuits by inducing faults
into the fault-free circuit is done. For each of the faults a new circuit is created.
Testbench is developed and the simulation is first run on a good circuit and then on each of the
faulty circuits using the commercial simulator. The outputs obtained in each case of the faulty
circuits are compared with the output of the good circuit to determine which faults are detected.
That is the new faulty circuit and the fault free circuit is simulated and the outputs so obtained are
compared. The fault list is tabulated. The ratio of the numbers of RTL faults detected to the total
number of RTL faults gives the RTL fault coverage. At the gate-level, for each RTL description,
gate level netlists are obtained for 65 nanometer target technology using logic synthesis tool and
fault coverage obtained by Tetramax tool. The fault list of both the RTL as well as Gate-level
faults is compared. The effectiveness of our fault model is determined by comparing RTL fault
coverage with the fault coverage obtained at the gate level.
3. RTL FAULT MODEL AND SIMULATION
Test generation plays an important role in the area of digital design. Test generation is a process
of finding input test patterns for detecting possible faults in the circuit. It is difficult to generate
test for real defects due to the diversity of VLSI defects. For generating and evaluating a set of
test patterns, fault models are needed. Widely a good fault model should almost give a true nature
of the behavior of defects and it should also computationally work well in terms of fault
simulation and test pattern generation. It is necessary to propose a fault model, that is a fault
model for how faults occur and their impact on circuits and to do with the business of good and
bad parts, many fault models have been proposed [4], but unfortunately, no single fault model
accurately reflects the behaviour of all possible defects that can occur. As a result, a combination
of different fault models at many instances are used in the generation and evaluation of test
vectors and testing approaches developed for VLSI devices [2]. Developing a test for faults at
higher level of abstraction and then determining the percentage of faults at the lower levels being
covered is a good strategy. Fault models at higher levels result in significant savings in test cost
and test time required for deriving tests.
The most common model used for logical fault is the single stuck-at fault (SSF). In this a fault in
a logic gate gives a favorable outcome in one of its inputs or the output being fixed to either a
logic 0(stuck-at-0) or a logic 1(stuck-at-1).
For our approach 2 to 1 multiplexer is taken as an example.
module mux_if(a,b,sel,z);
input sel;
input a, b;
output z;
reg z;
always @ (a or b or sel)
if (sel)
z=a;
else
z=b;
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
65
endmodule
The above RTL description is a fault free module. Faulty module is created such that the
functionality will remain same as the fault free module .This is done by inserting the buffer for
each of the ports.
The faulty module appears as seen below.
timescale 1ns/1ps
module mux_iffaulty(a,b,sel, z);
input a,b,sel;
output z;
wire a_fault, b_fault, sel_fault;
reg z_fault;
buf A1 (a_fault,a);
buf B1 (b_fault,b);
buf S1 (sel_fault, sel);
buf Z1 (z, z_fault);
/*always @ (a_fault,b_fault,sel_fault)
begin
if(sel_fault)
z_fault= a_fault;
else
z_fault=b_fault;
end */
/* sel stuck at 1
always @ (a_fault,b_fault,sel_fault)
begin
if(1'b1)
z_fault= a_fault; // test pattern (sel, a, b) :
001 ,010
else
z_fault=b_fault;
end */
/* a stuck at 1
always @ (a_fault,b_fault,sel_fault)
begin
if(sel_fault)
z_fault= 1'b1;
else // test pattern 100
z_fault=b_fault;
end
*/
// output stuck at 1
always @ (a_fault,b_fault,sel_fault)
begin
if(sel_fault)
begin
z_fault= a_fault;
z_fault=1'b1;
end
else // test pattern 100,000 (X00)
begin
z_fault=b_fault;
z_fault=1'b1;
end
end
endmodule
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
66
To these faulty and fault free modules fault simulation is performed with the reduced number of
test patterns for each of the faults. The outputs obtained in each case of the faulty circuits are
compared with the output of the good circuit to determine which faults are detected, finally to
obtain the fault coverage. A single pattern can detect many faults or a single fault. Many patterns
can detect many faults or a single fault. The challenge in testing is to obtain a minimal number of
test patterns which guarantees high fault coverage of a circuit with known set of faults. The
simulated waveform for the output signal remainder stuck at ‘1’ is as shown in Figure. 2.
Figure 2: Simulated waveform for the output signal stuck at ‘1’
4. RESULTS
At the writing of this paper, we have tested our approach on combinational logic circuits and
sequential circuits. The results obtained by applying our approaches to the RTL design
descriptions and their corresponding Gate-level descriptions have been tabulated in table 1. At the
gate-level, the gate-level netlist is created for each of the circuit used. Fault coverage is obtained
for the scan inserted gate-level netlists. From the results it can be observed that the RTL Fault
Coverage obtained by the proposed fault modeling methodology has a close match to the Gate-
Level Fault Coverage for the tested digital circuits.
Table 1. RTL versus Gate-Level Fault Coverage
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
67
5. CONCLUSIONS
With the progress of semiconductor technology testing of VLSI circuits becomes more and more
difficult and at the same time cost is also increasing. Therefore it is important to achieve high
fault efficiency with low cost. With this approach RTL designer can have an estimation of the
achieved fault coverage before doing synthesis and also it is possible for the designer to locate
faults at a higher level of abstraction. At present our approach is applied to combinational logic
circuits and few sequential logic circuits. Further we would like to extend the approach to
complex sequential circuits such that there is a close match to the gate level fault coverage and
hence reducing the impact on time to market.
REFERENCES
[1] J.Bhaskar , (2004) “Verilog HDL Synthesis, A Practical Primer”, BSPublications.
[2] F.Corno, G.Cumani,M.Souxa Reorda,G.Squillero,(2000)”An RT-level Fault Model with High Gate
Level Correlation “Proceedings of the IEEE International High_Level Design Validation Test
Workshop.
[3] Deniziak S,Sapiecha K,(2001)” Developing a High-Level Fault Simulation Standard “,IEEE
Computer Society pp 89-90.
[4] Ronald J Hayne and Barry W.Johnson, (1999)”Behavioral Fault Modeling in a VHDL Synthesis
Environment”, IEEE VLSI Test Symposium.
[5] Weiwei Mao, Ravi K Gulati,( 1996)”Improving Gate Level Fault Coverage by RTL Fault Grading”,
IEEE Proceedings of the International Test Conference.
[6] Devadas, A.Ghosh, K.Keuter,( 1996)”An Observability-Based Code Coverage Metric for Functional
Simulation”Proceedings of IEEE/ACM International Conference on Computer Aided Design
[7] Karunaratne, Sagahyroon, Prodhuturi,,(2005)”RTL Fault Modeling”IEEE Circuits and Systems
August.
[8] Jose M.Fernandes,Marcelino B.Santos,Arlindo L.Oliveira,Joao C.Teixeira,(2006)”IEEE International
High Level Design and Test Workshop.
[9] Chen C.H,Noh T.H,(1998)”VHDL behavioral ATPG and fault simulation of digital systems”,IEEE
transactions Aerospace and Electronic Systems,April,pp 430-447.
[10] P.Goel,(1980)”Test Generation Cost Analysis and Projections,” Design Automation Conference.
[11] Himanshu Bhatnagar,(2000)” Advanced ASIC Chip Synthesis “Kluwer Academic Publishers.
[12] P.K.Lala, (1997)”Digital Circuit Testing and Testability, “Academic Press.
[13] Marie Engelene J. Obien et.al,(2010)“ Constrained ATPG for Functional RTL Circuits Using F-
Scan”, International Test Conference.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
68
[14] Yiwen Shi,Wan-Chan Hu and Jennifer Sworak,(2010) “Too Many Faults,Too Little Time On
Creating Test Sets for Enhanced Detection of Highly Critical Faults and Defects”, 28th IEEE VLSI
Test Symposium.
[15] Shenbagapriya Murugesan and Ranjithkumar,(2010)” Satisfiability based Test Generation for Stuck-
at Fault Coverage in RTL Circuits using VHDL”,Second International Conference on
Computing,Communication and Networking Technologies.
[16] Taavi Viilukas et.al, (2010) “Constraint-based Test Pattern Generation at the Register-Transfer
Level”, IEEE Computer Society.
[17] Ho Fai Ko and Nicola Nicolici,(2010) “ Automated Trace Signals Selection using the RTL
Descriptions” International Test Conference
[18] Dr. Mark C.Jonhson,(2011) “ Interactive Application for Learning RTL Code Structures”, IEEE
Computer Society.
[19] Thamarai,Kuppusamyand Mayyappan,(2011) “ A New Approach for Test Set Compaction in
Combination Circuits “, IEEE Computer Society
[20] Lingyi Liu and Shobha Vasudevan,(2011) “Effiicient Validation Input Generation in RTL by
Hybridized Source Code Analysis”Electronics Design and Test Automation
[21] Hongxia Fang et.al, (2011)”Functional Test-Sequence Grading at Register-Transfer Level”, IEEE
Transactions on Very Large Scale Integration Systems
[22] Michal Rumplik and Josef Strnadel,(2011) “ On RTL Testability and Gate-Level Stuck-At-Fault
Coverage Correlation for Scan Circuits”, 14th Euromicro Conference on Digital System Design
[23] Thamarai, Kuppusamyand Mayyappan, (2011)” Fault Based Test Minimization for Adder and
Subtractor Circuits”, International Conference on Computer, Communication and Electrical
Technology

More Related Content

What's hot

Unit 3 Control Flow Testing
Unit 3   Control Flow TestingUnit 3   Control Flow Testing
Unit 3 Control Flow Testingravikhimani
 
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuitsijsrd.com
 
Specification-based Verification of Incomplete Programs
Specification-based Verification of Incomplete ProgramsSpecification-based Verification of Incomplete Programs
Specification-based Verification of Incomplete ProgramsIDES Editor
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverageNirav Desai
 
Control Flow Testing
Control Flow TestingControl Flow Testing
Control Flow TestingHirra Sultan
 
System verilog important
System verilog importantSystem verilog important
System verilog importantelumalai7
 
Functional Verification of Large-integers Circuits using a Cosimulation-base...
Functional Verification of Large-integers Circuits using a  Cosimulation-base...Functional Verification of Large-integers Circuits using a  Cosimulation-base...
Functional Verification of Large-integers Circuits using a Cosimulation-base...IJECEIAES
 
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...IDES Editor
 
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...VLSICS Design
 
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTING
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTINGA WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTING
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTINGJournal For Research
 
Secure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacksSecure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacksDharmalingam Ganesan
 
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow ControllersEffective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow ControllersLionel Briand
 
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic Validation and Verification of SYSML Activity Diagrams Using HOARE Logic
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic ijseajournal
 

What's hot (19)

Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Unit 3 Control Flow Testing
Unit 3   Control Flow TestingUnit 3   Control Flow Testing
Unit 3 Control Flow Testing
 
50120140502017
5012014050201750120140502017
50120140502017
 
Faults in Digital VLSI Circuits
Faults in Digital VLSI CircuitsFaults in Digital VLSI Circuits
Faults in Digital VLSI Circuits
 
Specification-based Verification of Incomplete Programs
Specification-based Verification of Incomplete ProgramsSpecification-based Verification of Incomplete Programs
Specification-based Verification of Incomplete Programs
 
Unit 2 unit testing
Unit 2   unit testingUnit 2   unit testing
Unit 2 unit testing
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
Path Testing
Path TestingPath Testing
Path Testing
 
Control Flow Testing
Control Flow TestingControl Flow Testing
Control Flow Testing
 
System verilog important
System verilog importantSystem verilog important
System verilog important
 
Functional Verification of Large-integers Circuits using a Cosimulation-base...
Functional Verification of Large-integers Circuits using a  Cosimulation-base...Functional Verification of Large-integers Circuits using a  Cosimulation-base...
Functional Verification of Large-integers Circuits using a Cosimulation-base...
 
vorlage
vorlagevorlage
vorlage
 
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
Software Reliability Growth Model with Logistic- Exponential Testing-Effort F...
 
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
 
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTING
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTINGA WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTING
A WHITE BOX TESTING TECHNIQUE IN SOFTWARE TESTING : BASIS PATH TESTING
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
Secure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacksSecure application programming in the presence of side channel attacks
Secure application programming in the presence of side channel attacks
 
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow ControllersEffective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
 
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic Validation and Verification of SYSML Activity Diagrams Using HOARE Logic
Validation and Verification of SYSML Activity Diagrams Using HOARE Logic
 

Similar to RTL Fault Modeling for Combinational and Sequential Circuits

Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designsijcsit
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...ijcisjournal
 
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel FilterCoding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel FilterIJAAS Team
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsRégis SANTONJA
 
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0Robert O. Peruzzi, PhD, PE, DFE
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCUIRJET Journal
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation PerformanceGate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performancesuddentrike2
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...SamHoney6
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeIJMTST Journal
 
Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...VLSICS Design
 
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...ijpla
 
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...Lionel Briand
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...VLSICS Design
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...VLSICS Design
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...VLSICS Design
 
Network simulator
Network simulatorNetwork simulator
Network simulatorjausmin kj
 
IRJET- Fault- Tolerant Fir Filter Implementation
IRJET-	 Fault- Tolerant Fir Filter ImplementationIRJET-	 Fault- Tolerant Fir Filter Implementation
IRJET- Fault- Tolerant Fir Filter ImplementationIRJET Journal
 

Similar to RTL Fault Modeling for Combinational and Sequential Circuits (20)

Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel FilterCoding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Bt34433436
Bt34433436Bt34433436
Bt34433436
 
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation PerformanceGate-Level Simulation Methodology Improving Gate-Level Simulation Performance
Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
 
Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...
 
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...
HIGH-LEVEL LANGUAGE EXTENSIONS FOR FAST EXECUTION OF PIPELINE-PARALLELIZED CO...
 
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
Evaluating Model Testing and Model Checking for Finding Requirements Violatio...
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
 
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
COVERAGE DRIVEN FUNCTIONAL TESTING ARCHITECTURE FOR PROTOTYPING SYSTEM USING ...
 
Network simulator
Network simulatorNetwork simulator
Network simulator
 
IRJET- Fault- Tolerant Fir Filter Implementation
IRJET-	 Fault- Tolerant Fir Filter ImplementationIRJET-	 Fault- Tolerant Fir Filter Implementation
IRJET- Fault- Tolerant Fir Filter Implementation
 

Recently uploaded

APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 

Recently uploaded (20)

APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 

RTL Fault Modeling for Combinational and Sequential Circuits

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 DOI : 10.5121/vlsic.2011.2406 61 FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL M.S.Suma1 and K.S.Gurumurthy2 1 Department of Electronics and Communication Engineering, R.V.College of Engineering, Bangalore, India ms_suma@yahoo.com 2 Department of Electronics and Communication Engineering, U.V.College of Engineering, Bangalore, India ABSTRACT As the complexity of Very Large Scale Integration (VLSI) is growing, testing becomes tedious and tougher. As of now fault models are used to test digital circuits at the gate level or below that level. By using fault models at the lower levels, testing becomes cumbersome and will lead to delays in the design cycle. In addition, developments in deep submicron technology provide an opening to new defects. We must develop efficient fault detection and location methods in order to reduce manufacturing costs and time to market. Thus there is a need to look for a new approach of testing the circuits at higher levels to speed up the design cycle. This paper proposes on Register Transfer Level (RTL) modeling for digital circuits and computing the fault coverage. The result obtained through this work establishes that the fault coverage with the RTL fault model is comparable to the gate level fault coverage. KEYWORDS Automatic test pattern generation (ATPG), fault coverage, fault simulation, stuck-at fault, RTL. 1. INTRODUCTION VLSI industry is growing as per Moore’s law and integrated circuit designs are accordingly becoming more and more complex. As a result of this, VLSI testing has become expensive in terms of cost. Existing gate level fault simulation techniques exhibit poor performance standards when applied to such designs and are unsuitable for early testability analysis or fault simulations. Current computer-aided design tools must address the needs for a new generation of integrated circuits such as systems on chip. Test sequences consist of many thousands of test patterns, which make gate-level fault simulation inappropriate due to its lengthy computational time. Also test generation and fault simulation efforts in the post synthesis phase do not contribute to the improvement in the design. Therefore, we need ATPG tools that reflect new design flows, especially tools that work at a higher level of abstraction than gate-level. Many high-level fault models and fault simulation techniques have been proposed. No single fault model is universally acceptable since no fault model has been developed so far that comprehensively covers all classes of circuits. The RTL description is at a higher level of
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 62 abstraction and may not cover all the gate level faults [2].To be widely accepted an RT-level fault simulator must accept input formats in standard hardware description languages such as VHDL or Verilog and should show high efficiency. Fault simulation plays a key role in ATPG systems; including high-level ATPG. Gate-level fault simulation is not appropriate for large systems because of long runtimes or large memory requirements. RT-level fault simulation may be the only alternative for estimating the quality of tests generated using high-level ATPG [3]. A high- level fault model should guarantee fault coverage comparable to the gate-level fault coverage obtained for the same test sequence. The fault model proposed by F.Corno, G.Cumani, M.Sonza Reorda and G.Squillero [2] adopts a particular instantiation of the observability enhanced statement coverage metric in addition to the single stuck-at bit faults on all assignments targets of the executed statements. The model implies observability enhanced statement coverage by modeling one of the possible fault classes on executed statements. This is an incomplete modeling of the various faults associated with the RTL description of the circuit. The fault model by Barry W. Johnson is developed via abstraction of industry standard single- stuck-line (SSL) faults into the behavioral domain. A functional analysis technique was used to evaluate the effects of the SSL faults on gate-level implementation. Since the gate-level netlist changes drastically during logic synthesis, the authors in [4] concluded that modeling all possible gate-level faults at the RTL is highly inefficient. The RTL fault model and simulation approach proposed by Mao and Gulati [5] uses the single stuck-at fault for each bit of all variables in the RTL model. The model employs both the RTL description and functional verification patterns. But their approach required one to run fault simulation twice, first in an optimistic mode and then in the pessimistic mode and to use the average of the results to reduce the difference between the RTL and the gate-level fault coverage. The experimental data shows as much as 10 % error between the actual gate-level fault coverage and the RTL fault coverage. Another fault model proposed by Devadas and Ghosh [6] is the Observability Enhanced Statement Coverage Metric. This model requires that all statements in the RTL description are executed at least once and that their effects are propagated to at least one primary output. As this approach can be fruitfully exploited for the test pattern for fault simulation, more accurate results are needed. The fault model proposed by Karunaratne et al. [7] does not consider stuck-at faults in the signal bit values and also not account for these faults. Also the process of locating the RTL faults and mapping them to the corresponding Gate-Level faults is to be done. It is therefore desirable to develop the fault model at a higher level of abstraction than the gate level. Fault simulation and testing at the higher levels of abstraction have a better chance of being integrated well into the overall design process. Jose M.Fernandes et al. [8] has proposed a new probabilistic method for controllability evaluation based on a traitorously selection of registers to form groups. This work needs further optimization by computing the probabilistic impact of the simultaneous correction of different testability problems. Digital circuits are commonly designed at multiple levels of abstraction, including the layout, transistor, gate, register-transfer (RTL) and behavioral levels. Designers describe circuits in a hierarchical, top-down fashion, typically using computer-aided design (CAD) tools. To simplify the design process, designers try to model circuits at a fairly abstract level. Conventional gate-
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 63 level implementation is hard to understand, i.e., poor readability. By modeling circuits at a higher level, the number of primitive elements in a circuit is reduced, thus making the problem size more tractable. This allows larger circuits to be handled in less time. The authors [9] conclude that over 1000 times reduction in test-generation time is achievable by performing automatic test pattern generation (ATPG) at the RTL without any compromise in fault coverage. 2. METHODOLOGY In this work Verilog Hardware Description Language is used for writing the RTL models. Although extensive work has been done on Verilog based simulation and synthesis, test generation and other test related issues are still to explore the capabilities of Verilog. The basic assumption is that the components are fault free and only their interconnections are affected. These map to the operators and variables in the RTL descriptions respectively. Gate level primitives can be instantiated in a model using gate instantiation as these are supported for synthesis. These primitive gates describe the hardware. Therefore synthesizing a gate primitive generates logic based on the gate behavior which eventually gets mapped to the target technology [1].Based on this the single stuck-at fault is modeled. The assumption is also that at most one fault occurs at a time in the circuit. The proposed fault model is an improvement over the model given by Karunaratne et al. [6].Stuck-at faults in the signal bit values was not considered and accounted. Also the process of locating the RTL faults and mapping them to the corresponding Gate-Level faults was not implemented. Figure 1: Design flow with the proposed method
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 64 The analysis flow for the modeling approach is of two ways as shown in Figure 1. One way targets on the gate-level fault coverage while the other is on the RTL fault coverage. In the RTL path, the RTL design description is obtained based on the specification. Since the fault model is at the RTL, the fault is induced at the input and at the output. This is done by using a buffer for each bit in all of the variables in the RTL code. These buffers are inserted in the fault free circuit and should not disturb the functionality of the circuit. As a result, a modified faulty RTL circuit is obtained. To enable fault simulation the process of generating faulty circuits by inducing faults into the fault-free circuit is done. For each of the faults a new circuit is created. Testbench is developed and the simulation is first run on a good circuit and then on each of the faulty circuits using the commercial simulator. The outputs obtained in each case of the faulty circuits are compared with the output of the good circuit to determine which faults are detected. That is the new faulty circuit and the fault free circuit is simulated and the outputs so obtained are compared. The fault list is tabulated. The ratio of the numbers of RTL faults detected to the total number of RTL faults gives the RTL fault coverage. At the gate-level, for each RTL description, gate level netlists are obtained for 65 nanometer target technology using logic synthesis tool and fault coverage obtained by Tetramax tool. The fault list of both the RTL as well as Gate-level faults is compared. The effectiveness of our fault model is determined by comparing RTL fault coverage with the fault coverage obtained at the gate level. 3. RTL FAULT MODEL AND SIMULATION Test generation plays an important role in the area of digital design. Test generation is a process of finding input test patterns for detecting possible faults in the circuit. It is difficult to generate test for real defects due to the diversity of VLSI defects. For generating and evaluating a set of test patterns, fault models are needed. Widely a good fault model should almost give a true nature of the behavior of defects and it should also computationally work well in terms of fault simulation and test pattern generation. It is necessary to propose a fault model, that is a fault model for how faults occur and their impact on circuits and to do with the business of good and bad parts, many fault models have been proposed [4], but unfortunately, no single fault model accurately reflects the behaviour of all possible defects that can occur. As a result, a combination of different fault models at many instances are used in the generation and evaluation of test vectors and testing approaches developed for VLSI devices [2]. Developing a test for faults at higher level of abstraction and then determining the percentage of faults at the lower levels being covered is a good strategy. Fault models at higher levels result in significant savings in test cost and test time required for deriving tests. The most common model used for logical fault is the single stuck-at fault (SSF). In this a fault in a logic gate gives a favorable outcome in one of its inputs or the output being fixed to either a logic 0(stuck-at-0) or a logic 1(stuck-at-1). For our approach 2 to 1 multiplexer is taken as an example. module mux_if(a,b,sel,z); input sel; input a, b; output z; reg z; always @ (a or b or sel) if (sel) z=a; else z=b;
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 65 endmodule The above RTL description is a fault free module. Faulty module is created such that the functionality will remain same as the fault free module .This is done by inserting the buffer for each of the ports. The faulty module appears as seen below. timescale 1ns/1ps module mux_iffaulty(a,b,sel, z); input a,b,sel; output z; wire a_fault, b_fault, sel_fault; reg z_fault; buf A1 (a_fault,a); buf B1 (b_fault,b); buf S1 (sel_fault, sel); buf Z1 (z, z_fault); /*always @ (a_fault,b_fault,sel_fault) begin if(sel_fault) z_fault= a_fault; else z_fault=b_fault; end */ /* sel stuck at 1 always @ (a_fault,b_fault,sel_fault) begin if(1'b1) z_fault= a_fault; // test pattern (sel, a, b) : 001 ,010 else z_fault=b_fault; end */ /* a stuck at 1 always @ (a_fault,b_fault,sel_fault) begin if(sel_fault) z_fault= 1'b1; else // test pattern 100 z_fault=b_fault; end */ // output stuck at 1 always @ (a_fault,b_fault,sel_fault) begin if(sel_fault) begin z_fault= a_fault; z_fault=1'b1; end else // test pattern 100,000 (X00) begin z_fault=b_fault; z_fault=1'b1; end end endmodule
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 66 To these faulty and fault free modules fault simulation is performed with the reduced number of test patterns for each of the faults. The outputs obtained in each case of the faulty circuits are compared with the output of the good circuit to determine which faults are detected, finally to obtain the fault coverage. A single pattern can detect many faults or a single fault. Many patterns can detect many faults or a single fault. The challenge in testing is to obtain a minimal number of test patterns which guarantees high fault coverage of a circuit with known set of faults. The simulated waveform for the output signal remainder stuck at ‘1’ is as shown in Figure. 2. Figure 2: Simulated waveform for the output signal stuck at ‘1’ 4. RESULTS At the writing of this paper, we have tested our approach on combinational logic circuits and sequential circuits. The results obtained by applying our approaches to the RTL design descriptions and their corresponding Gate-level descriptions have been tabulated in table 1. At the gate-level, the gate-level netlist is created for each of the circuit used. Fault coverage is obtained for the scan inserted gate-level netlists. From the results it can be observed that the RTL Fault Coverage obtained by the proposed fault modeling methodology has a close match to the Gate- Level Fault Coverage for the tested digital circuits. Table 1. RTL versus Gate-Level Fault Coverage
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 67 5. CONCLUSIONS With the progress of semiconductor technology testing of VLSI circuits becomes more and more difficult and at the same time cost is also increasing. Therefore it is important to achieve high fault efficiency with low cost. With this approach RTL designer can have an estimation of the achieved fault coverage before doing synthesis and also it is possible for the designer to locate faults at a higher level of abstraction. At present our approach is applied to combinational logic circuits and few sequential logic circuits. Further we would like to extend the approach to complex sequential circuits such that there is a close match to the gate level fault coverage and hence reducing the impact on time to market. REFERENCES [1] J.Bhaskar , (2004) “Verilog HDL Synthesis, A Practical Primer”, BSPublications. [2] F.Corno, G.Cumani,M.Souxa Reorda,G.Squillero,(2000)”An RT-level Fault Model with High Gate Level Correlation “Proceedings of the IEEE International High_Level Design Validation Test Workshop. [3] Deniziak S,Sapiecha K,(2001)” Developing a High-Level Fault Simulation Standard “,IEEE Computer Society pp 89-90. [4] Ronald J Hayne and Barry W.Johnson, (1999)”Behavioral Fault Modeling in a VHDL Synthesis Environment”, IEEE VLSI Test Symposium. [5] Weiwei Mao, Ravi K Gulati,( 1996)”Improving Gate Level Fault Coverage by RTL Fault Grading”, IEEE Proceedings of the International Test Conference. [6] Devadas, A.Ghosh, K.Keuter,( 1996)”An Observability-Based Code Coverage Metric for Functional Simulation”Proceedings of IEEE/ACM International Conference on Computer Aided Design [7] Karunaratne, Sagahyroon, Prodhuturi,,(2005)”RTL Fault Modeling”IEEE Circuits and Systems August. [8] Jose M.Fernandes,Marcelino B.Santos,Arlindo L.Oliveira,Joao C.Teixeira,(2006)”IEEE International High Level Design and Test Workshop. [9] Chen C.H,Noh T.H,(1998)”VHDL behavioral ATPG and fault simulation of digital systems”,IEEE transactions Aerospace and Electronic Systems,April,pp 430-447. [10] P.Goel,(1980)”Test Generation Cost Analysis and Projections,” Design Automation Conference. [11] Himanshu Bhatnagar,(2000)” Advanced ASIC Chip Synthesis “Kluwer Academic Publishers. [12] P.K.Lala, (1997)”Digital Circuit Testing and Testability, “Academic Press. [13] Marie Engelene J. Obien et.al,(2010)“ Constrained ATPG for Functional RTL Circuits Using F- Scan”, International Test Conference.
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 68 [14] Yiwen Shi,Wan-Chan Hu and Jennifer Sworak,(2010) “Too Many Faults,Too Little Time On Creating Test Sets for Enhanced Detection of Highly Critical Faults and Defects”, 28th IEEE VLSI Test Symposium. [15] Shenbagapriya Murugesan and Ranjithkumar,(2010)” Satisfiability based Test Generation for Stuck- at Fault Coverage in RTL Circuits using VHDL”,Second International Conference on Computing,Communication and Networking Technologies. [16] Taavi Viilukas et.al, (2010) “Constraint-based Test Pattern Generation at the Register-Transfer Level”, IEEE Computer Society. [17] Ho Fai Ko and Nicola Nicolici,(2010) “ Automated Trace Signals Selection using the RTL Descriptions” International Test Conference [18] Dr. Mark C.Jonhson,(2011) “ Interactive Application for Learning RTL Code Structures”, IEEE Computer Society. [19] Thamarai,Kuppusamyand Mayyappan,(2011) “ A New Approach for Test Set Compaction in Combination Circuits “, IEEE Computer Society [20] Lingyi Liu and Shobha Vasudevan,(2011) “Effiicient Validation Input Generation in RTL by Hybridized Source Code Analysis”Electronics Design and Test Automation [21] Hongxia Fang et.al, (2011)”Functional Test-Sequence Grading at Register-Transfer Level”, IEEE Transactions on Very Large Scale Integration Systems [22] Michal Rumplik and Josef Strnadel,(2011) “ On RTL Testability and Gate-Level Stuck-At-Fault Coverage Correlation for Scan Circuits”, 14th Euromicro Conference on Digital System Design [23] Thamarai, Kuppusamyand Mayyappan, (2011)” Fault Based Test Minimization for Adder and Subtractor Circuits”, International Conference on Computer, Communication and Electrical Technology