SlideShare a Scribd company logo
1 of 6
Download to read offline
Research Inventy: International Journal Of Engineering And Science 
Vol.4, Issue 10 (October2014), PP 06-10 
Issn (e): 2278-4721, Issn (p):2319-6483, www.researchinventy.com 
5 
Improved Power Gating Technique for Leakage Power Reduction Akhila Abba1, K Amarender2 1M. Tech (VLSI), Department of ECE, Sahasra College of Engineering for Women1, 
2Professor (HOD), Department of ECE, College of Engineering for Women 2 
ABSTRACT: Now a day’s low power circuits are most popular, in the circuit as the scaling increase the leakage powers increases. So for removing these kinds of leakages we are using many types of power gating techniques and to provide a better power efficiency. In this paper by using low power VLSI design techniques we are going to analyze the different types of power gated circuits. We are going to display the comparison results between different nano-meter technologies. Micro-wind Layout Editor & DSCH software tools are used for simulations & circuit design. The results were tabulated. 
KEYWORDS: Sleep Method, Power gating, Sleepy stack, Sleep, Stack, Zigzag, Dual sleep, Dual stack , MTCMOS, Fine-grain power gating, coarse-grain power gating. 
I. INTRODUCTION 
The process of scaling technologies to nano-meter regime has resulted in a rapid increase in leakage power dissipation (static and dynamic power dissipation). Reduce the static power dissipation has become extremely important during periods of inactivity to develop design techniques. Without trading-off performance the power reduction must be achieved which makes it harder to reduce leakage during (normal) operation at runtime .In sleep or standby mode to reduce the leakage power there are several techniques are used. Well known technique is Power gating technique where a sleep transistor is added between virtual ground (circuit ground) & actual ground rail. In the sleep mode to cut-off the leakage path, the device is turned-off. This technique provides a substantial reduction in leakage at a minimal impact on performance. It has been shown that the Power Gating technique uses high Vt sleep transistors. When the block is not switching high sleep transistors are cut off VDD from a circuit block. An important design parameter is size of the sleep transistor this technique also known as MTCMOS (Multi-Threshold CMOS). To achieve long term leakage power reduction an externally switched power supply is a very basic form of power gating. Internal power gating is more suitable to shut off the block for small intervals of time. Power can be controlled by power gating controllers and to provide power to the circuitry CMOS switches are used.The power gated outputs block discharges slowly. Hence voltage levels of the output block spend more time in threshold voltage level (Vth), so it leads to larger short circuit current in the circuit. Low-leakage PMOS transistors are used as header switches to shut off power supplies , the Power Gating parts of a design in the mode of sleep or standby. NMOS footer switches can also be used as sleep transistors in the design of power gating technique. The sleep transistors can be inserting to splits the chip's power network into a permanent power network connected to the power supply and a virtual power network that drives the cells and can be turned off. By using of cell- or cluster-based (or fine grain) approaches or a distributed coarse-grained approachs Power Gating can be implemented. Fig1: Power Gated Circuits
Improved Power Gating Technique… 
6 
II. POWER-GATING (PG) PARAMETERS 
For a successful implementation of this methodology the following parameters are need to be considered and their values must be carefully choose. 
1. Size of the Power Gate: The size of the power gate must be selected to handle the amount of switching current at any point of given time. The gate must be bigger such that there is no measurable voltage (IR) drop due to the gate. The size of the gate is selected to be around 3 times of the switching capacitance because as a Rule of Thumb. The Designers can also choose header (P-MOS) or footer (N-MOS) gate for the designing circuits. For the same switching current N-MOS footer gates are to be smaller in area. Switching current can be accurately measured by using of Dynamic power analysis tools & can predict the size for the PG 
2. Slew rate: To determine the power gating efficiency it is an important parameter in power gating. It takes more time to switch off and switch-on the circuit, when the slew rate is large & hence it is affects on the power gating efficiency also. The gate control signal is used to control the slew rate 
3. Switching Capacitance: This important constraint refers to that without affecting the power network integrity the amount of circuit can be switched simultaneously. If a large amount of the circuit is switched simultaneously, the resulting "rush current" can compromise the power network integrity. The circuit needs to be switched in stages in order to prevent this. 
4. Leakage of Power Gate: by using of active transistors power gates are designed, to maximize power savings the leakage reduction is an important consideration. 
i) Fine Grain Power Gating(FGPG) 
Sleep transistor is added to every cell that is to be turned off imposes a large area penalty & individually gating the power of every cluster of cells creates timing issues introduced by inter-cluster voltage variation, these are very difficult to resolve. FGPG encapsulates the switching transistor as a part of the standard cell logic in the design.The library IP vendor or standard cell designer are used to design the Switching transistors.Usually these cell designs can easily be handled by EDA tools for implementation & conform to the normal standard cell rules. The gate control size is designed considering the worst case scenario, it will require the circuit to switch during every clock cycle, in a huge area impact on result only for the low Vt cells Some of the recent designs implement the FGPG selectively.If the multiple Vt libraries are allows by the technology then.In the design the use of low Vt devices (20%) is minimum, so area can be reduced. If the next stage is a high Vt cell then the output must be isolated on the low Vt cells by using of PG'S.When output goes to an unknown state due to power gating, it can be cause the neighboring high Vt cell to have leakage.For the control signals, Slew rate of Gate control constraint is achieved by having a buffer distribution tree. Buffers without the gate control signal designed with high Vt cells (The buffers must be chosen from a set of always on buffers). When a cell switches off with respect to another, it minimizes the rush current during switch-off & switch-on.Usually the high Vt device is designed as a gating transistor. CGPG offers further flexibility by optimizing the PG cells where there is low switching activity in the design.At the CG level Leakage optimization had to be done , changing the high leakage cell for the low leakage one.FGPG is a methodology resulting in leakage reduction up to 10 times. If the power reduction requirement is not satisfied by multiple Vt optimization, this type of power reduction makes it an appealing technique. 
ii) Coarse-Grain Power Gating(CGPG) 
The CGPG Process implements the grid style sleep transistors which drives cells locally through shared virtual power networks.This technique is less IR-drop variation, introduces less sensitive to PVT variation & imposes a smaller area overhead than the implementations based on cell- or cluster.In CGPG, the PG transistor is a part of the power distribution network rather than the standard cell. Implementation of a CG structure is in two ways those are 
1. Based on Ring: The power gates are placed around the perimeter of the module that is being switched- off as a Ring. To turn the power signals around the corners by using of special corner cells 
2. Based on Column: Within the module the power gates are inserted with the cells abutted to each other in the form of columns.The switched power is in the lower layers, while the global power is the higher layers of metal.
Improved Power Gating Technique… 
7 
At any given time, overall switching current of the module depends on the Gate sizing. Since only a fraction of circuits switch at any point of time, FG switches are larger as compared to the Power Gate sizes.By using of worst case vectors can determine the worst case switching for the module and the size in dynamic power simulation. In the analysis the IR drop can also be factored. A major consideration in CGPG implementation is Simultaneous switching capacitance. By the simultaneous switching special counters can be used to selectively turn on blocks of switches & in order to limit simultaneous switching, gate control buffers can be daisy chained. 
III. PREVIOUS METHODS 
The problem of controlling/optimizing the power gating parameters can be solved by clustering based approach. In the power mode transitions the important design considerations are minimizing the total size of sleep transistors, the peak current, and the wakeup delay. This work solved the problem of finding logic clusters, analyzes the relations between the three parameters, performance loss constraints and that minimize the wakeup delay (wakeup schedule)while satisfying the peak current. To reduce the leakage power there are so many techniques are existed. Sleep transistor should be in ON, if we are working with the logic circuits. A variation of the Sleep Technique , the zigzag Technique, reduces wake- up overhead caused by sleep transistors.By placement of alternating sleep transistors assuming a particular pre- selected input vector [6]. Another technique is the stack technique for leakage power reduction, by breaking down an existing transistor into two half size transistors [7]. Then these half size transistors increase delay & could limit the usefulness of the technique Fig2: Sleep Technique Fig3: Zigzag Technique The Sleepy Stack Technique (Fig. 4) combines the Stack & Sleep techniques [2, 3]. The existing transistors divided into two half size transistors in the Sleepy Stack technique like as Stack technique. Between the divide transistors one of sleep transistor will be added in parallel. Stacked transistors suppress leakage current while saving state & Sleep transistors are turned off during sleep mode. In active mode it reduces delay & resistance of the path because of sleep transistor, sleep transistor is placed in parallel to the one of the stacked transistors
Improved Power Gating Technique… 
8 
Fig4: Sleepy Stack Technique However, area requirement is maximum for this technique since every transistor is replaced by three transistors.Dual sleep Technique[8] is (Fig:5) uses the advantage of using the two extra pull- up & pull-down transistors in sleep mode either in OFF/ON state. To all logic circuitry the dual sleep portion is designed as common. For a certain logic circuit less number of transistors are enough to apply Fig5: Dual Sleep Technique The method is dual stack approach [1], in sleep mode, the sleep transistors are off, i.e. transistor N1 andP1 are off. We do so by making S=0 and hence S’=1. Now we see that the other 4 transistors P2, P3 and N2, N3 connect the main circuit with power rail. Here we use 2 PMOS in the pull down network and 2 NMOS in the pull-up network. The advantage is that NMOS degrades the high logic level while PMOS degrades the low logic level. Due to the body effect, they further decrease the voltage level. So, the pass transistors decreases the voltage applied across the main circuit. As we know that static power is proportional to the voltage applied, with the reduced voltage the power decreases but we get the advantage of state retention. Another advantage is got during off mode if we increase the threshold voltage of N2, N3 and P2, P3. The transistors are held in reverse body bias. As a result their threshold is high. High threshold voltage causes low leakage current and hence low leakage power. If we use minimum size transistors, i.e. aspect ratio of 1, we again get low leakage power due to low leakage current. As a result of stacking, P2 and N2 have less drain voltage. So, the DIBL effect is less for them and they cause high barrier for leakage current. While in active mode i.e. S=1 and S’=0, both the sleep transistors (N1 and P1) and the parallel transistors (N2, N3 and P2, P3) are on. They work as transmission gate and the power connection is again established in uncorrupted way. Further they decrease the dynamic power. Fig6: Dual Stack Technique
Improved Power Gating Technique… 
9 
IV. PROPOSED METHOD Fig7: Sleep Method Technique In the Proposed method there are three modes of operations (i) In Active mode (AM) (ii) In Standby mode (SM) (iii) Sleep to Active mode transition (SAM) In AM, both the N2 & P2 sleep transistors remain ON & the sleep Signal (S) of the transistor is held at logic'1' (high). In this case virtual Vss node potential is pulled down to the ground potential, making the logic difference between the logic circuitry approximately equal to the Vdd (supply voltage) & both transistors offer very low resistance. To combining stacked sleep transistors, the magnitude of power supply fluctuations will be reduced because these transitions are gradual during sleep mode transitions, a stacked sleep structures can achieve minimum leakage the with a normal threshold device, while conventional power gating uses a sleep transistor which is a high- threshold device to minimize leakage[2]. In SM, both the T3 & T4 sleep transistors remain ON & the sleep Signal of the transistor is held at logic'1' (high) & control transistors P2 & N2 is OFF by giving logic’0, In this case virtual Vss node potential is pulled down to the ground potential, making the logic difference between the logic circuitry approximately equal to the Vdd (supply voltage) & both transistors offer very low resistance By using of the stacking effect we can reduces the leakage current, turning both sleep transistors OFF( T3 & T4) and vice-versa for the header switch. In SAM, the analyzed design gives major contribution in terms of peak of SM compared to stacking PG. when Sleep mode occurred, the circuit is going from sleep to active or active to sleep. In initial stage, by turning on the control transistor M1 (which is connected across the drain and gate of the T3) then the sleep transistor (T3) is working as a diode. Due to this Ids of the sleep transistor T3 drops in a quadratic manner. This reduces the circuit wake-up time, voltage fluctuation on the power net & ground.So in SAM, initially after small duration of time we are turning ON transistor T3, to reduce the Ground Bounce Noise T4 will be turned ON. In next stage control transistor is off that sleep transistor works normally. During sleep to active mode transition, transistor T3 & T4 (after a small duration of time) is turned ON. The logic circuit isolated from the ground for a short duration as the transistor T4 is turned OFF. During this duration, the transistor T4 is operated in triode region, by controlling the intermediate node voltage we can reduce the Ground Bounce Noise & Inserting proper amount of delay (delay < discharging time of the T3transistor). By turning both T3 andT4 sleep transistors OFF, the Leakage current is reduced by the stacking effect. Due to small Id (drain current) it raises the intermediate node voltage VGND2 to +ve values +ve potential at the intermediate node has 4 effects 
 Vgs of T3 becomes negative. 
 Negative (body-to-source potential) Vdsl of T3decreases, resulting in less drain induced barrier lowering 
 Vds of T4 is less compared to T3, because most of the voltage drops across the T3 in sleep mode. 
 
This significantly reduces the drain barrier lowering. Hence the reduction of leakage power is done in circuit [7].
Improved Power Gating Technique… 
10 
V. SIMULATION RESULT Fig7 & Fig8 show the logic and power consumption, respectively for an NAND. For circuit design & circuit logic verification we use DSCH (Digital schematic), for CMOS layout verification & power calculation of the circuit we use MWND(Microwind) Fig7 & Fig8 show the logic and power consumption, respectively for an NAND Fig8: Power consumption of proposed technique 
Circuit Technique 
Power Consumption 
Sleepy NAND 
1.844 μW 
Dual Sleep NAND 
1.785 μW 
Proposed NAND 
1.114 μW 
Table 1: Comparison b/w Proposed method with other techniques VI. CONCLUSION Sub threshold leakage power consumption is a great challenge in nano-meter scale (CMOS) technology, although previous techniques are effective in some ways, no perfect solution for reducing leakage power consumption is yet known. Therefore, based upon technology & design criteria the designers can choose the techniques. In this paper, we provide novel circuit structure in terms of static & dynamic powers named as “Power gated sleep method” it’s a new remedy for designers. This technique shows the least speed power product among all techniques. The Proposed technique achieving ultra-low leakage power consumption with much less speed, especially it shows nearly 50-60% of power than the existing. So, it can be used for future IC'S for area & power Efficiency REFERENCES 
[1] M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijaykumar, “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep submicron Cache Memories,” Proc. of International Symposium on Low Power Electronics and Design, pp. 90-95, July 2000. 
[2] J.C. Park, V. J. Mooney III and P. Pfeiffenberger, “Sleepy Stack Reduction of Leakage Power,” Proc. of the International Workshop on Power and Timing Modeling, Optimization and Simulation, pp. 148-158, September 2004. 
[3] J. Park, “Sleepy Stack: a New Approach to Low Power VLSI and Memory,” Ph.D. Dissertation, School of Electrical and Computer Engineering, Georgia Institute of Technology, 2005. [Online].Available http://etd.gatech.edu/theses. 
[4] N. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. Hu, M. Irwin, M. Kandemir and V. Narayanan, “Leakage Current: Moore’s Law Meets Static Power,” IEEE Computer, vol. 36, pp. 68–75, December 2003. 
[5] J. Shin and T. Kim, “Technique for transition energy-aware dynamicvoltage assignment,” IEEE Trans. Integr. Circuits Syst. II, Exp. Briefs,vol. 53, no. 9, pp. 956–960, Sep. 2006. 
[6] W. Cheol and T. Kim, “Optimal voltage allocation techniques fordynamically variable voltage processors,” ACM Trans. EmbeddedComput. Syst., vol. 4, no. 1, pp. 211–230, Feb. 2005. 
[7] T. Ishihara and H. Yasuura, “Voltage scheduling problem for dynamically variable voltage processors,” in Proc. IEEE/ACM Int. Symp. LowPower Electron. Des., 1998, pp. 197–202. 
[8] F. Fallah and M. Pedram, “Standby and active leakage current control and minimization CMOS VLSI circuits,” IEICE Trans. Electron., vol.E88-C, no. 4, pp. 509–519, 2005. 
AUTHOR BIOGRAPHY: 
Akhila Abba is born on 25th August' 91 & She received the B.Tech degree in ECE from JNTUH in 2012 and pursuing the M.tech degrees in VLSI-SD from Jawaharlal Nehru Technological University Hyderabad in 2014

More Related Content

What's hot

Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power ManagementPatrick Bellasi
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
 
Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodologyAakash Patel
 
Power consumption
Power consumptionPower consumption
Power consumptionsdpable
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSISilicon Mentor
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETIJTET Journal
 
Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fetsArya Ls
 

What's hot (20)

Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Vlsi power estimation
Vlsi power estimationVlsi power estimation
Vlsi power estimation
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power Management
 
MULTI Threshold
MULTI ThresholdMULTI Threshold
MULTI Threshold
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
 
Low power
Low powerLow power
Low power
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodology
 
Low Power VLSI Desgin
Low Power VLSI DesginLow Power VLSI Desgin
Low Power VLSI Desgin
 
Power consumption
Power consumptionPower consumption
Power consumption
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
SOC Power Estimation
SOC Power EstimationSOC Power Estimation
SOC Power Estimation
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
 
Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fets
 
Power
PowerPower
Power
 

Similar to Improved Power Gating Technique for Leakage Power Reduction

International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)ijceronline
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET Journal
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...IOSRJVSP
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingIRJET Journal
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveyIJERA Editor
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...IJARIIT
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 

Similar to Improved Power Gating Technique for Leakage Power Reduction (20)

Ar4102321325
Ar4102321325Ar4102321325
Ar4102321325
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
 
Ijecev6n1 03
Ijecev6n1 03Ijecev6n1 03
Ijecev6n1 03
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power Gating
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Ar4301228233
Ar4301228233Ar4301228233
Ar4301228233
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
F0333237
F0333237F0333237
F0333237
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 

More from inventy

Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...
Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...
Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...inventy
 
Copper Strip Corrossion Test in Various Aviation Fuels
Copper Strip Corrossion Test in Various Aviation FuelsCopper Strip Corrossion Test in Various Aviation Fuels
Copper Strip Corrossion Test in Various Aviation Fuelsinventy
 
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...inventy
 
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...Comparative Study of the Quality of Life, Quality of Work Life and Organisati...
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...inventy
 
A Study of Automated Decision Making Systems
A Study of Automated Decision Making SystemsA Study of Automated Decision Making Systems
A Study of Automated Decision Making Systemsinventy
 
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...inventy
 
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...inventy
 
Application of Kennelly’model of Running Performances to Elite Endurance Runn...
Application of Kennelly’model of Running Performances to Elite Endurance Runn...Application of Kennelly’model of Running Performances to Elite Endurance Runn...
Application of Kennelly’model of Running Performances to Elite Endurance Runn...inventy
 
Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...inventy
 
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...inventy
 
Size distribution and biometric relationships of little tunny Euthynnus allet...
Size distribution and biometric relationships of little tunny Euthynnus allet...Size distribution and biometric relationships of little tunny Euthynnus allet...
Size distribution and biometric relationships of little tunny Euthynnus allet...inventy
 
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...inventy
 
Effect of Various External and Internal Factors on the Carrier Mobility in n-...
Effect of Various External and Internal Factors on the Carrier Mobility in n-...Effect of Various External and Internal Factors on the Carrier Mobility in n-...
Effect of Various External and Internal Factors on the Carrier Mobility in n-...inventy
 
Transient flow analysis for horizontal axial upper-wind turbine
Transient flow analysis for horizontal axial upper-wind turbineTransient flow analysis for horizontal axial upper-wind turbine
Transient flow analysis for horizontal axial upper-wind turbineinventy
 
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...Choice of Numerical Integration Method for Wind Time History Analysis of Tall...
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...inventy
 
Impacts of Demand Side Management on System Reliability Evaluation
Impacts of Demand Side Management on System Reliability EvaluationImpacts of Demand Side Management on System Reliability Evaluation
Impacts of Demand Side Management on System Reliability Evaluationinventy
 
Reliability Evaluation of Riyadh System Incorporating Renewable Generation
Reliability Evaluation of Riyadh System Incorporating Renewable GenerationReliability Evaluation of Riyadh System Incorporating Renewable Generation
Reliability Evaluation of Riyadh System Incorporating Renewable Generationinventy
 
The effect of reduced pressure acetylene plasma treatment on physical charact...
The effect of reduced pressure acetylene plasma treatment on physical charact...The effect of reduced pressure acetylene plasma treatment on physical charact...
The effect of reduced pressure acetylene plasma treatment on physical charact...inventy
 
Experimental Investigation of Mini Cooler cum Freezer
Experimental Investigation of Mini Cooler cum FreezerExperimental Investigation of Mini Cooler cum Freezer
Experimental Investigation of Mini Cooler cum Freezerinventy
 
Growth and Magnetic properties of MnGeP2 thin films
Growth and Magnetic properties of MnGeP2 thin filmsGrowth and Magnetic properties of MnGeP2 thin films
Growth and Magnetic properties of MnGeP2 thin filmsinventy
 

More from inventy (20)

Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...
Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...
Experimental Investigation of a Household Refrigerator Using Evaporative-Cool...
 
Copper Strip Corrossion Test in Various Aviation Fuels
Copper Strip Corrossion Test in Various Aviation FuelsCopper Strip Corrossion Test in Various Aviation Fuels
Copper Strip Corrossion Test in Various Aviation Fuels
 
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...
Additional Conservation Laws for Two-Velocity Hydrodynamics Equations with th...
 
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...Comparative Study of the Quality of Life, Quality of Work Life and Organisati...
Comparative Study of the Quality of Life, Quality of Work Life and Organisati...
 
A Study of Automated Decision Making Systems
A Study of Automated Decision Making SystemsA Study of Automated Decision Making Systems
A Study of Automated Decision Making Systems
 
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...
Crystallization of L-Glutamic Acid: Mechanism of Heterogeneous β -Form Nuclea...
 
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...
Evaluation of Damage by the Reliability of the Traction Test on Polymer Test ...
 
Application of Kennelly’model of Running Performances to Elite Endurance Runn...
Application of Kennelly’model of Running Performances to Elite Endurance Runn...Application of Kennelly’model of Running Performances to Elite Endurance Runn...
Application of Kennelly’model of Running Performances to Elite Endurance Runn...
 
Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...
 
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...
The Management of Protected Areas in Serengeti Ecosystem: A Case Study of Iko...
 
Size distribution and biometric relationships of little tunny Euthynnus allet...
Size distribution and biometric relationships of little tunny Euthynnus allet...Size distribution and biometric relationships of little tunny Euthynnus allet...
Size distribution and biometric relationships of little tunny Euthynnus allet...
 
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...
Removal of Chromium (VI) From Aqueous Solutions Using Discarded Solanum Tuber...
 
Effect of Various External and Internal Factors on the Carrier Mobility in n-...
Effect of Various External and Internal Factors on the Carrier Mobility in n-...Effect of Various External and Internal Factors on the Carrier Mobility in n-...
Effect of Various External and Internal Factors on the Carrier Mobility in n-...
 
Transient flow analysis for horizontal axial upper-wind turbine
Transient flow analysis for horizontal axial upper-wind turbineTransient flow analysis for horizontal axial upper-wind turbine
Transient flow analysis for horizontal axial upper-wind turbine
 
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...Choice of Numerical Integration Method for Wind Time History Analysis of Tall...
Choice of Numerical Integration Method for Wind Time History Analysis of Tall...
 
Impacts of Demand Side Management on System Reliability Evaluation
Impacts of Demand Side Management on System Reliability EvaluationImpacts of Demand Side Management on System Reliability Evaluation
Impacts of Demand Side Management on System Reliability Evaluation
 
Reliability Evaluation of Riyadh System Incorporating Renewable Generation
Reliability Evaluation of Riyadh System Incorporating Renewable GenerationReliability Evaluation of Riyadh System Incorporating Renewable Generation
Reliability Evaluation of Riyadh System Incorporating Renewable Generation
 
The effect of reduced pressure acetylene plasma treatment on physical charact...
The effect of reduced pressure acetylene plasma treatment on physical charact...The effect of reduced pressure acetylene plasma treatment on physical charact...
The effect of reduced pressure acetylene plasma treatment on physical charact...
 
Experimental Investigation of Mini Cooler cum Freezer
Experimental Investigation of Mini Cooler cum FreezerExperimental Investigation of Mini Cooler cum Freezer
Experimental Investigation of Mini Cooler cum Freezer
 
Growth and Magnetic properties of MnGeP2 thin films
Growth and Magnetic properties of MnGeP2 thin filmsGrowth and Magnetic properties of MnGeP2 thin films
Growth and Magnetic properties of MnGeP2 thin films
 

Recently uploaded

Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsAndrey Dotsenko
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfngoud9212
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphNeo4j
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 

Recently uploaded (20)

Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdf
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 

Improved Power Gating Technique for Leakage Power Reduction

  • 1. Research Inventy: International Journal Of Engineering And Science Vol.4, Issue 10 (October2014), PP 06-10 Issn (e): 2278-4721, Issn (p):2319-6483, www.researchinventy.com 5 Improved Power Gating Technique for Leakage Power Reduction Akhila Abba1, K Amarender2 1M. Tech (VLSI), Department of ECE, Sahasra College of Engineering for Women1, 2Professor (HOD), Department of ECE, College of Engineering for Women 2 ABSTRACT: Now a day’s low power circuits are most popular, in the circuit as the scaling increase the leakage powers increases. So for removing these kinds of leakages we are using many types of power gating techniques and to provide a better power efficiency. In this paper by using low power VLSI design techniques we are going to analyze the different types of power gated circuits. We are going to display the comparison results between different nano-meter technologies. Micro-wind Layout Editor & DSCH software tools are used for simulations & circuit design. The results were tabulated. KEYWORDS: Sleep Method, Power gating, Sleepy stack, Sleep, Stack, Zigzag, Dual sleep, Dual stack , MTCMOS, Fine-grain power gating, coarse-grain power gating. I. INTRODUCTION The process of scaling technologies to nano-meter regime has resulted in a rapid increase in leakage power dissipation (static and dynamic power dissipation). Reduce the static power dissipation has become extremely important during periods of inactivity to develop design techniques. Without trading-off performance the power reduction must be achieved which makes it harder to reduce leakage during (normal) operation at runtime .In sleep or standby mode to reduce the leakage power there are several techniques are used. Well known technique is Power gating technique where a sleep transistor is added between virtual ground (circuit ground) & actual ground rail. In the sleep mode to cut-off the leakage path, the device is turned-off. This technique provides a substantial reduction in leakage at a minimal impact on performance. It has been shown that the Power Gating technique uses high Vt sleep transistors. When the block is not switching high sleep transistors are cut off VDD from a circuit block. An important design parameter is size of the sleep transistor this technique also known as MTCMOS (Multi-Threshold CMOS). To achieve long term leakage power reduction an externally switched power supply is a very basic form of power gating. Internal power gating is more suitable to shut off the block for small intervals of time. Power can be controlled by power gating controllers and to provide power to the circuitry CMOS switches are used.The power gated outputs block discharges slowly. Hence voltage levels of the output block spend more time in threshold voltage level (Vth), so it leads to larger short circuit current in the circuit. Low-leakage PMOS transistors are used as header switches to shut off power supplies , the Power Gating parts of a design in the mode of sleep or standby. NMOS footer switches can also be used as sleep transistors in the design of power gating technique. The sleep transistors can be inserting to splits the chip's power network into a permanent power network connected to the power supply and a virtual power network that drives the cells and can be turned off. By using of cell- or cluster-based (or fine grain) approaches or a distributed coarse-grained approachs Power Gating can be implemented. Fig1: Power Gated Circuits
  • 2. Improved Power Gating Technique… 6 II. POWER-GATING (PG) PARAMETERS For a successful implementation of this methodology the following parameters are need to be considered and their values must be carefully choose. 1. Size of the Power Gate: The size of the power gate must be selected to handle the amount of switching current at any point of given time. The gate must be bigger such that there is no measurable voltage (IR) drop due to the gate. The size of the gate is selected to be around 3 times of the switching capacitance because as a Rule of Thumb. The Designers can also choose header (P-MOS) or footer (N-MOS) gate for the designing circuits. For the same switching current N-MOS footer gates are to be smaller in area. Switching current can be accurately measured by using of Dynamic power analysis tools & can predict the size for the PG 2. Slew rate: To determine the power gating efficiency it is an important parameter in power gating. It takes more time to switch off and switch-on the circuit, when the slew rate is large & hence it is affects on the power gating efficiency also. The gate control signal is used to control the slew rate 3. Switching Capacitance: This important constraint refers to that without affecting the power network integrity the amount of circuit can be switched simultaneously. If a large amount of the circuit is switched simultaneously, the resulting "rush current" can compromise the power network integrity. The circuit needs to be switched in stages in order to prevent this. 4. Leakage of Power Gate: by using of active transistors power gates are designed, to maximize power savings the leakage reduction is an important consideration. i) Fine Grain Power Gating(FGPG) Sleep transistor is added to every cell that is to be turned off imposes a large area penalty & individually gating the power of every cluster of cells creates timing issues introduced by inter-cluster voltage variation, these are very difficult to resolve. FGPG encapsulates the switching transistor as a part of the standard cell logic in the design.The library IP vendor or standard cell designer are used to design the Switching transistors.Usually these cell designs can easily be handled by EDA tools for implementation & conform to the normal standard cell rules. The gate control size is designed considering the worst case scenario, it will require the circuit to switch during every clock cycle, in a huge area impact on result only for the low Vt cells Some of the recent designs implement the FGPG selectively.If the multiple Vt libraries are allows by the technology then.In the design the use of low Vt devices (20%) is minimum, so area can be reduced. If the next stage is a high Vt cell then the output must be isolated on the low Vt cells by using of PG'S.When output goes to an unknown state due to power gating, it can be cause the neighboring high Vt cell to have leakage.For the control signals, Slew rate of Gate control constraint is achieved by having a buffer distribution tree. Buffers without the gate control signal designed with high Vt cells (The buffers must be chosen from a set of always on buffers). When a cell switches off with respect to another, it minimizes the rush current during switch-off & switch-on.Usually the high Vt device is designed as a gating transistor. CGPG offers further flexibility by optimizing the PG cells where there is low switching activity in the design.At the CG level Leakage optimization had to be done , changing the high leakage cell for the low leakage one.FGPG is a methodology resulting in leakage reduction up to 10 times. If the power reduction requirement is not satisfied by multiple Vt optimization, this type of power reduction makes it an appealing technique. ii) Coarse-Grain Power Gating(CGPG) The CGPG Process implements the grid style sleep transistors which drives cells locally through shared virtual power networks.This technique is less IR-drop variation, introduces less sensitive to PVT variation & imposes a smaller area overhead than the implementations based on cell- or cluster.In CGPG, the PG transistor is a part of the power distribution network rather than the standard cell. Implementation of a CG structure is in two ways those are 1. Based on Ring: The power gates are placed around the perimeter of the module that is being switched- off as a Ring. To turn the power signals around the corners by using of special corner cells 2. Based on Column: Within the module the power gates are inserted with the cells abutted to each other in the form of columns.The switched power is in the lower layers, while the global power is the higher layers of metal.
  • 3. Improved Power Gating Technique… 7 At any given time, overall switching current of the module depends on the Gate sizing. Since only a fraction of circuits switch at any point of time, FG switches are larger as compared to the Power Gate sizes.By using of worst case vectors can determine the worst case switching for the module and the size in dynamic power simulation. In the analysis the IR drop can also be factored. A major consideration in CGPG implementation is Simultaneous switching capacitance. By the simultaneous switching special counters can be used to selectively turn on blocks of switches & in order to limit simultaneous switching, gate control buffers can be daisy chained. III. PREVIOUS METHODS The problem of controlling/optimizing the power gating parameters can be solved by clustering based approach. In the power mode transitions the important design considerations are minimizing the total size of sleep transistors, the peak current, and the wakeup delay. This work solved the problem of finding logic clusters, analyzes the relations between the three parameters, performance loss constraints and that minimize the wakeup delay (wakeup schedule)while satisfying the peak current. To reduce the leakage power there are so many techniques are existed. Sleep transistor should be in ON, if we are working with the logic circuits. A variation of the Sleep Technique , the zigzag Technique, reduces wake- up overhead caused by sleep transistors.By placement of alternating sleep transistors assuming a particular pre- selected input vector [6]. Another technique is the stack technique for leakage power reduction, by breaking down an existing transistor into two half size transistors [7]. Then these half size transistors increase delay & could limit the usefulness of the technique Fig2: Sleep Technique Fig3: Zigzag Technique The Sleepy Stack Technique (Fig. 4) combines the Stack & Sleep techniques [2, 3]. The existing transistors divided into two half size transistors in the Sleepy Stack technique like as Stack technique. Between the divide transistors one of sleep transistor will be added in parallel. Stacked transistors suppress leakage current while saving state & Sleep transistors are turned off during sleep mode. In active mode it reduces delay & resistance of the path because of sleep transistor, sleep transistor is placed in parallel to the one of the stacked transistors
  • 4. Improved Power Gating Technique… 8 Fig4: Sleepy Stack Technique However, area requirement is maximum for this technique since every transistor is replaced by three transistors.Dual sleep Technique[8] is (Fig:5) uses the advantage of using the two extra pull- up & pull-down transistors in sleep mode either in OFF/ON state. To all logic circuitry the dual sleep portion is designed as common. For a certain logic circuit less number of transistors are enough to apply Fig5: Dual Sleep Technique The method is dual stack approach [1], in sleep mode, the sleep transistors are off, i.e. transistor N1 andP1 are off. We do so by making S=0 and hence S’=1. Now we see that the other 4 transistors P2, P3 and N2, N3 connect the main circuit with power rail. Here we use 2 PMOS in the pull down network and 2 NMOS in the pull-up network. The advantage is that NMOS degrades the high logic level while PMOS degrades the low logic level. Due to the body effect, they further decrease the voltage level. So, the pass transistors decreases the voltage applied across the main circuit. As we know that static power is proportional to the voltage applied, with the reduced voltage the power decreases but we get the advantage of state retention. Another advantage is got during off mode if we increase the threshold voltage of N2, N3 and P2, P3. The transistors are held in reverse body bias. As a result their threshold is high. High threshold voltage causes low leakage current and hence low leakage power. If we use minimum size transistors, i.e. aspect ratio of 1, we again get low leakage power due to low leakage current. As a result of stacking, P2 and N2 have less drain voltage. So, the DIBL effect is less for them and they cause high barrier for leakage current. While in active mode i.e. S=1 and S’=0, both the sleep transistors (N1 and P1) and the parallel transistors (N2, N3 and P2, P3) are on. They work as transmission gate and the power connection is again established in uncorrupted way. Further they decrease the dynamic power. Fig6: Dual Stack Technique
  • 5. Improved Power Gating Technique… 9 IV. PROPOSED METHOD Fig7: Sleep Method Technique In the Proposed method there are three modes of operations (i) In Active mode (AM) (ii) In Standby mode (SM) (iii) Sleep to Active mode transition (SAM) In AM, both the N2 & P2 sleep transistors remain ON & the sleep Signal (S) of the transistor is held at logic'1' (high). In this case virtual Vss node potential is pulled down to the ground potential, making the logic difference between the logic circuitry approximately equal to the Vdd (supply voltage) & both transistors offer very low resistance. To combining stacked sleep transistors, the magnitude of power supply fluctuations will be reduced because these transitions are gradual during sleep mode transitions, a stacked sleep structures can achieve minimum leakage the with a normal threshold device, while conventional power gating uses a sleep transistor which is a high- threshold device to minimize leakage[2]. In SM, both the T3 & T4 sleep transistors remain ON & the sleep Signal of the transistor is held at logic'1' (high) & control transistors P2 & N2 is OFF by giving logic’0, In this case virtual Vss node potential is pulled down to the ground potential, making the logic difference between the logic circuitry approximately equal to the Vdd (supply voltage) & both transistors offer very low resistance By using of the stacking effect we can reduces the leakage current, turning both sleep transistors OFF( T3 & T4) and vice-versa for the header switch. In SAM, the analyzed design gives major contribution in terms of peak of SM compared to stacking PG. when Sleep mode occurred, the circuit is going from sleep to active or active to sleep. In initial stage, by turning on the control transistor M1 (which is connected across the drain and gate of the T3) then the sleep transistor (T3) is working as a diode. Due to this Ids of the sleep transistor T3 drops in a quadratic manner. This reduces the circuit wake-up time, voltage fluctuation on the power net & ground.So in SAM, initially after small duration of time we are turning ON transistor T3, to reduce the Ground Bounce Noise T4 will be turned ON. In next stage control transistor is off that sleep transistor works normally. During sleep to active mode transition, transistor T3 & T4 (after a small duration of time) is turned ON. The logic circuit isolated from the ground for a short duration as the transistor T4 is turned OFF. During this duration, the transistor T4 is operated in triode region, by controlling the intermediate node voltage we can reduce the Ground Bounce Noise & Inserting proper amount of delay (delay < discharging time of the T3transistor). By turning both T3 andT4 sleep transistors OFF, the Leakage current is reduced by the stacking effect. Due to small Id (drain current) it raises the intermediate node voltage VGND2 to +ve values +ve potential at the intermediate node has 4 effects  Vgs of T3 becomes negative.  Negative (body-to-source potential) Vdsl of T3decreases, resulting in less drain induced barrier lowering  Vds of T4 is less compared to T3, because most of the voltage drops across the T3 in sleep mode.  This significantly reduces the drain barrier lowering. Hence the reduction of leakage power is done in circuit [7].
  • 6. Improved Power Gating Technique… 10 V. SIMULATION RESULT Fig7 & Fig8 show the logic and power consumption, respectively for an NAND. For circuit design & circuit logic verification we use DSCH (Digital schematic), for CMOS layout verification & power calculation of the circuit we use MWND(Microwind) Fig7 & Fig8 show the logic and power consumption, respectively for an NAND Fig8: Power consumption of proposed technique Circuit Technique Power Consumption Sleepy NAND 1.844 μW Dual Sleep NAND 1.785 μW Proposed NAND 1.114 μW Table 1: Comparison b/w Proposed method with other techniques VI. CONCLUSION Sub threshold leakage power consumption is a great challenge in nano-meter scale (CMOS) technology, although previous techniques are effective in some ways, no perfect solution for reducing leakage power consumption is yet known. Therefore, based upon technology & design criteria the designers can choose the techniques. In this paper, we provide novel circuit structure in terms of static & dynamic powers named as “Power gated sleep method” it’s a new remedy for designers. This technique shows the least speed power product among all techniques. The Proposed technique achieving ultra-low leakage power consumption with much less speed, especially it shows nearly 50-60% of power than the existing. So, it can be used for future IC'S for area & power Efficiency REFERENCES [1] M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijaykumar, “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep submicron Cache Memories,” Proc. of International Symposium on Low Power Electronics and Design, pp. 90-95, July 2000. [2] J.C. Park, V. J. Mooney III and P. Pfeiffenberger, “Sleepy Stack Reduction of Leakage Power,” Proc. of the International Workshop on Power and Timing Modeling, Optimization and Simulation, pp. 148-158, September 2004. [3] J. Park, “Sleepy Stack: a New Approach to Low Power VLSI and Memory,” Ph.D. Dissertation, School of Electrical and Computer Engineering, Georgia Institute of Technology, 2005. [Online].Available http://etd.gatech.edu/theses. [4] N. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. Hu, M. Irwin, M. Kandemir and V. Narayanan, “Leakage Current: Moore’s Law Meets Static Power,” IEEE Computer, vol. 36, pp. 68–75, December 2003. [5] J. Shin and T. Kim, “Technique for transition energy-aware dynamicvoltage assignment,” IEEE Trans. Integr. Circuits Syst. II, Exp. Briefs,vol. 53, no. 9, pp. 956–960, Sep. 2006. [6] W. Cheol and T. Kim, “Optimal voltage allocation techniques fordynamically variable voltage processors,” ACM Trans. EmbeddedComput. Syst., vol. 4, no. 1, pp. 211–230, Feb. 2005. [7] T. Ishihara and H. Yasuura, “Voltage scheduling problem for dynamically variable voltage processors,” in Proc. IEEE/ACM Int. Symp. LowPower Electron. Des., 1998, pp. 197–202. [8] F. Fallah and M. Pedram, “Standby and active leakage current control and minimization CMOS VLSI circuits,” IEICE Trans. Electron., vol.E88-C, no. 4, pp. 509–519, 2005. AUTHOR BIOGRAPHY: Akhila Abba is born on 25th August' 91 & She received the B.Tech degree in ECE from JNTUH in 2012 and pursuing the M.tech degrees in VLSI-SD from Jawaharlal Nehru Technological University Hyderabad in 2014