SlideShare a Scribd company logo
1 of 6
Download to read offline
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617

RESEARCH ARTICLE

www.ijera.com

OPEN ACCESS

New Approach to Low-Power & Leakage Current Reduction
Technique for CMOS Circuit Design
Sujata Prajapati *, Prof. M. Zahid Alam**, Dr. Rita Jain***
(Department of Electronics and Communication Engineering LNCT Bhopal, M.P., India)

ABSTRACT
Leakage power dissipation has become major portion of total power consumption in the integrated device and is
expected to grow exponentially in the next decade as per International Technology Roadmap for Semiconductors
(IRTS). This directly affects the battery operated devices as it has long idle times. Thus by scaling down the
threshold voltage has tremendously increased the sub threshold leakage current thereby making the static power
dissipation very high. To overcome this problem several techniques has been proposed to overcome this high
leakage power dissipation. A comprehensive survey and analysis of various leakage power minimization
techniques is presented in this paper. Of the available techniques, eight techniques are considered for the analysis
namely, Multi Threshold CMOS (MTCMOS), Super Cut-off CMOS (SCCMOS), Forced Transistor Stacking
(FTS) and Sleepy Stack (SS), Sleepy keeper (SK), Dual Stack (OS), and LECTOR. From the results, it is
observed that Lector techniques produces lower power dissipation than the other techniques due to the ability of
power gating.
Keywords - Sub-threshold leakage current, Threshold voltage, Transistor stacking, Low power, Deep
submicron.

I. INTRODUCTION
In order to achieve high density and high
performance, CMOS technology feature size and
threshold voltage have been scaling down for
decades. Because of this technology trend, transistor
leakage power has increased exponentially. As the
feature size becomes smaller, shorter channel lengths
result in increased subthreshold leakage current
through a transistor when it is off. Low threshold
voltage also results in increased subthreshold leakage
current because transistors cannot be turned off
completely. For these reasons, static power
consumption, i.e., leakage power dissipation, has
become a significant portion of total power
consumption for current and future silicon
technologies. There are several VLSI techniques to
reduce leakage power. Each technique provides an
efficient way to reduce leakage power, but
disadvantages of each technique limit the application
of each technique. We propose a new approach, thus
providing a new choice to low-leakage power VLSI
designers. Previous techniques are summarized and
compared with our new approach presented in this
paper.

II. LITERATURE REVIEW
Subthreshold leakage current (Isub) in MOS
transistors, which occurs when the gate voltage is
below the threshold voltage and mainly, consists of
diffusion current. Off-state leakage in present-day
devices is usually dominated by this type of leakage.
www.ijera.com

An effect called drain-induced barrier lowering
(DIBL) takes place when a high-drain voltage is
applied to a short channel device. The source injects
carriers into the channel surface (independent of gate
voltage). Narrow width of the transistor can also
modulate the threshold voltage and the subthreshold
current.

W
I sub  0 .Cox . .V 2 .e1.8 .e
L

(Vgs VT )
nV

(1.1)

where, µ0 is the zero bias mobility, Cox is the gate
oxide capacitance, and (W/L) represents the width to
the length ratio of the leaking MOS device. The
variable V in equation 1.1 is the thermal voltage
constant, and Vgs represents the gate to the source
voltage. The parameter n in equation 1.1 is the subthreshold swing coefficient given by 1 + (Cd/Cox)
with Cd being the depletion layer capacitance of the
source/drain junction. One important point about
equation 1.1 is that the sub threshold leakage current
is exponentially proportional to (Vgs-VT). Shorter
channel length results in lower threshold voltages and
increases subthreshold leakage. As temperature
increases, subthreshold leakage is also increased. On
the other hand, when the well-to-source junction of a
MOSFET is reverse biased, there is a body effect that
increases the threshold voltage and decreases
subthreshold leakage.

612 | P a g e
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617
Gate oxide tunneling current (Igate) in which
tunneling of electrons that can result in leakage when
there is a high electric field across a thin gate oxide
layer. Electrons may tunnel into the conduction band
of the oxide layer; this is called Fowler-Nordheim
tunneling. In oxide layers less than 3-4 nm thick,
there can also be direct tunneling through the silicon
oxide layer. Mechanisms for direct tunneling include
electron tunneling in the conduction band, electron
tunneling in the valence band, and hole tunneling in
the valence band.

www.ijera.com

since the intermediate node has a voltage above the
ground. These results in reduction of DIBL effect
hence better leakage savings. However, forced stack
devices have a strong performance degradation that
must be taken into account when applying the
technique [3-5].

INPUT1
OUT
INPUT2

A. SLEEP MODE APPROACH
We here review previously proposed circuit
level approaches for subthreshold leakage power
reduction. The most well-known traditional approach
is the sleep approach [2][3]. In the sleep approach,
both (i) an additional "sleep" PMOS transistor is
placed between VDD and the pull-up network of a
circuit and (ii) an additional "sleep" NMOS transistor
is placed between the pull-down network and GND.
These sleep transistors turn off the circuit by cutting
off the power rails. Figure 1 shows its structure. The
sleep transistors are turned on when the circuit is
active and turned off when the circuit is idle. By
cutting off the power source, this technique can
reduce leakage power effectively. However, output
will be floating after sleep mode, so the technique
results in destruction of state plus a floating output
voltage.

Fig. 2. Stack Approach based 2 input NAND gate
C. LEAKAGE FEEDBACK APPROACH:
The leakage feedback approach is based on
the sleep approach. However, the leakage feedback
approach uses two additional transistors to maintain
logic state during sleep mode, and the two transistors
are driven by the output of an inverter which is
driven by output of the circuit implemented utilizing
leakage feedback [34].
S

S1
Pull up Network

Out

Pull down
Network

INP1

OUT
INP2

SI
c
c

S2

Fig.3. Leakage Feedback Approach
Fig.1. Sleep Approach NAND gate
B. STACK APPROACH
Another leakage power reduction technique
is the stack approach, which forces a stack affect by
breaking down an existing transistor into two half
size
transistors.
Subthreshold
leakage
is
exponentially related to the threshold voltage of the
device, and the threshold voltage changes due to
body effect [4]. From these two facts, one can reduce
the subthreshold leakage in the device by stacking
two or more transistors serially [5]. The transistors
above the lowest transistor will experience a higher
threshold voltage due to the difference in the voltage
between the source and body as shown in Figure 2.
Also, the Vds of the higher transistor is decreased,
www.ijera.com

As shown in Figure 3, a PMOS transistor is
placed in parallel to the sleep transistor (S) and a
NMOS transistor is placed in parallel to the sleep
transistor (S'). The two transistors are driven by the
output of the inverter which is driven by the output of
the circuit. During sleep mode, sleep transistors are
turned off and one of the transistors in parallel to the
sleep transistors keep the connection with the
appropriate power rail.
D. SLEEPY STACK APPROACH
The main idea behind the sleepy stack
technique is to combine the sleep transistor approach
during active mode with the stack approach during
sleep mode. The structure of the sleepy stack
approach is shown in Fig. 4. The sleepy stack
613 | P a g e
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617
technique divides existing transistors into two
transistors each typically with the same width half the
size of the original single transistor‟s width. Then
sleep transistors are added in parallel to one of the
transistors in each set of two stacked transistors; the
divided transistors reduce leakage power using the
stack effect while retaining state [5]. The sleepy stack
technique divides existing transistors into two
transistors each typically with the same width W1
half the size of the original single transistor‟s width
(i.e.W1 = W0/2), thus, maintaining equivalent input
capacitance. The added sleep transistors operate
similar to the sleep transistors used in the sleep
technique in which sleep transistors are turned on
during active mode and turned off during sleep mode
[6]. During active mode, S=0 and S’=1 are asserted,
and thus all sleep transistors are turned on. Due to the
added sleep transistor, the resistance through the
activated (i.e., “on”) path decreases, and the
propagation delay decreases (compared to not adding
sleep transistors while leaving the rest of the circuitry
the same, i.e., with stacked transistors). During the
sleep mode, S=1 and S’=0 are asserted, and so both of
the sleep transistors are turned off. The stacked
transistors in the sleepy stack approach suppress
leakage current. Although the sleep transistors are
turned off, the sleepy stack structure maintains exact
logic state. The leakage reduction of the sleepy stack
structure occurs in two ways. First, leakage power is
suppressed by high- transistors, which are applied to
the sleep transistors and the transistors parallel to the
sleep transistors. Second, stacked and turned off
transistors induce the stack effect which also
suppresses leakage power consumption. By
combining these two effects, the sleepy stack
structure achieves ultra-low leakage power
consumption during sleep mode while retaining exact
logic state. The price for this, however, is increased
area [4].

S

INPUT1
INPUT2
OUTPUT

S

www.ijera.com

An additional single NMOS transistor
placed in parallel to the pull-up sleep transistor
connects VDD to the pull-up network. When in sleep
mode, this NMOS transistor is the only source of
VDD to the pull-up network since the sleep transistor
is off. Similarly, to maintain a value of „0‟ in sleep
mode, given that the „0‟ value ha already been
s
calculated, the sleepy keeper approach uses this
output value of „0‟ and a PMOS transistor
connected to GND to maintain output value equal to
„0‟ when in sleep mode. As shown in Figure 5, an
additional single PMOS transistor placed in parallel
to the pull-down sleep transistor is the only source of
GND to the case pull-down network which is the dual
case of the output „1‟ explained above [3]. For this
approach to work, all that is needed is for the NMOS
connected to VDD and the PMOS connected to GND
to be able to maintain proper logic state[11]. This
seems likely to be possible as other researchers have
described ways to use far lower VDD values to
maintain logic state.

III. PROPOSED TECHNIQUE MODIFIED LECTOR TECHNIQUE
In LECTOR technique two leakage control
transistors (one p-type and one n-type) are introduced
between pull-up and pull-down circuit within the
logic gate for which the gate terminal of each leakage
control transistor (LCT) is controlled by the source of
the other. This arrangement ensures that one of the
LCTs always operates in its near cutoff region. The
basic idea behind LECTOR approach is that “a state
with more than one transistor OFF in a path from
supply voltage to ground is far less leaky than a state
with only one transistor OFF in any supply to ground
path.
When deep submicron transistor is operating
in subthreshold region, the standby current varies
exponentially with gate to source voltage. Most of the
CMOS logic circuits are composed of series and
parallel combination of MOS transistors. For parallel
connected MOS transistors the DC current is
calculated as the sum of the currents of each parallel
connected transistor. In case of series connected
transistors leakage current calculation is typical due
to its nonlinear characteristics. In case of near cut off
operation of transistors the resistance of transistor is
as high as an OFF transistor’s resistance but the
available resistance is sufficient to increase the
supply voltage to ground path resistance and so to
reduce the leakage power dissipation.

S

Fig. 4. Sleepy Stack Approach based 2 input NAND
gate
www.ijera.com

614 | P a g e
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617

INP1

www.ijera.com

INP2
OUT

Proposed Lector With Multiplexer

IV. SIMULATION RESULTS
Fig. 6. Proposed technique Sleepy Lector with high
Vth transistors

A 2 input NAND gate is simulated with
leakage power reduction techniques sleep, forced
stack, sleepy keeper and sleepy stack with DTCMOS.
After analyzing the results in terms of average power
consumption, dynamic power consumption, static
power consumption, delay and PDP we conclude that
sleepy stack with DTCMOS is producing
comparatively better results. All schematics are
designed on Cadence virtuoso schematic editor and
simulations are done on Micro wind & Cadence
spectre simulator on 65nm technology and supply
voltage of 1V. The circuits are simulated with high
threshold and low threshold NMOS and PMOS
transistors.
Average Power, delay & PDP Calculation of
different Technique
Technique

Fig.7. Output waveform of Lector with sleep
Table: 1.Truth table of 4*1 MUX
A
B
Y

0

0

I0

0

0

0

0

1

0

I1

0

0

1

0

0

0

I2

0

1

1

0

0

0

I3

www.ijera.com

Base case
NAND
Gate
Forced
stacking
Sleep
Transistor
with Low
Vth
NAND
Gate with
Lector
Proposed
MUX
with
Lector

Average
Power(uW)
1.532

Delay(pS)
3.70

Power Delay
Product(PDP)
5.66

2.49

9.74

24.30

1.25

6.91

8.68

.749

.359

.2688

5.68

14.78

83.950

615 | P a g e
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617
V. CONCLUSION
Leakage reduction technique plays a key
role in VLSI circuit design. Scaling down the
appropriate parameter can reduce the leakage power.
[t can be concluded that there is a strong correlation
between three performance parameters: leakage
power, delay, power delay product. There can be
compromise in the performance metrics by reducing
the other metric parameter. It can be concluded that
SCCMOS provides efficient leakage power savings
in standby and forced stacking modes. LECTOR
method found more effective in both standby and
active mode of operation. If propagation delay is
taken as the performance metrics, then sleep
transistor method is proved effective method in the
standby mode. In active mode, sleepy stack based
approach is suitable for faster circuit operation. All
the above methods are suitable for circuit level of
abstraction.

[8]

[9]

[10]

[11]

REFERANCES
[1]

[2]

[3]

[4]

[5]

[6]
[7]

J.C. Park and V. J. Mooney III, “Sleepy
stack leakage reduction,” IEEETrans. VLSI
Systems,
vol. 14, no. 11, pp. 1250-1263,
Nov. 2006
S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki,
S. Shigemitsu, and J. Yamada,1-V Power
Supply
High-Speed
Digital
Circuit
Technology with Multi-Threshold Voltage
CMOS," IEEE Journal of Solid-State
Circuits, vol. 30, No. 8, pp. 847{854, 1995}.
S. Mutoh, S. Shigematsu, Y. Matsuya, H.
Fukuda, T. Kaneko, and J. Yamada, A 1-V
multi-threshold-voltage CMOS digital signal
processor for mobile phone applications,"
IEEE Journal of Solid-State Circuits, pp.
1795{1802, 1996.}
A. Chandrakasan, I. Yang, C. Vieri, and D.
Antoniadis, Design Considerations and
Tools for Low-Voltage Digital System
Design," In Proceedings of the 33rd Design
Automation Conference, pp. 113{118,
1996}.
J. Kao, A. Chandrakasan, and D. Antoniadis,
Transistor Sizing Issues and Tools for
Multi-threshold CMOS Technology," In
Proceedings of the 34th Design Automation
Conference, pp. 409{414, Las Vegas,
Nevada, 1997}.
J. M. Rabaey, Digital Integrated Circuits,
Prentice Hall, NJ, 1996.
J. Kao, S. Narendra, and A. Chandrakasan,
Sub-threshold Leakage Modeling and
Reduction Techniques," In Proceedings of
the International Conference on Computer
Aided Design, pp. 141{148, 2002}.

www.ijera.com

[12]

[13]

[14]

[15]

[16]

[17]

[18]

www.ijera.com

J. Kao, S. Narendra, and A. Chandrakasan,
MTCMOS Hierarchical Sizing Based on
Mutual Exclusive Discharge Patterns," In
Proceedings of the 35th Design Automation
Conference, pp. 495{500, Las Vegas,
Nevada, 1998}.
M. Anis, S. Areibi, and M. Elmasry, Design
and Optimization of Multithreshold CMOS
(MTCMOS) Circuits," IEEE Transactions
on Computer-Aided Design of Integrated
Circuits and Systems, vol. 22, No.10, pp.
1324{1342, 2003}.
M. Anis, M. Mahmoud, and M. Elmasry,
E_cient Gate Clustering for MTCMOS
Circuits," In Proceedings of the 14th Annual
International ASIC/SOC Conference, pp.
34{38, Washington, DC, 2001}.
M. Anis, S. Areibi, M. Mahmoud, and M.
Elmasry, Dynamic and Leakage Power
Reduction in MTCMOS Circuits Using an
Automated Efficient Gate Clustering," In
Proceedings of the 39th Design Automation
Conference, pp. 480{485, New Orleans,
2002.}
Anup jalan and mamta khosla “analysisof
leakage power reduction techniques in
digital
circuits”. India Conference
(INDICON), 2011AnnualIEEE, Dec. 2011
Uming Ko, Poras T. Balsara, andWai Lee,
“Low-power
design
techniques
for
highperformance CMOS adders,” IEEE
Trans. VLSI Syst., vol. 3, no. 2, June 1995
pp. 327–333
Y. F.Tsai, D. Duarte, N. Vijaykrishnan, and
M. J. Irwin, “Implications of Technology
Scaling on Leakage Reduction Techniques,”
In Proceedings of the 40th Design
Automation Conference, pp. 187–190,
Anaheim, 2003.
T. Karnik, S. Borkar, and V. De, “Sub-90nm
Technologies
–
Challenges
and
Opportunities for CAD,” In Proceedings of
the International Conference on Computer
Aided Design, pp. 203–206, 2002.
S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki,
S. Shigematsu, and J. Yamada, “1-V Power
Supply
High-Speed
Digital
Circuit
Technology with Multi-Threshold Voltage
CMOS,” IEEE Journal of Solid-State
Circuits, vol. 30, No. 8, pp. 847–854, 1995.
C. Long and L. He, “Distributed Sleep
Transistor Network for Power Reduction,”
In Proceedings of the 40th Design
Automation Conference, pp. 181–186,
Anaheim, 2003.
Z. Chen, M. Johnson, L. Wei and K. Roy,
“Estimation of Standby Leakage Power in
616 | P a g e
Sujata Prajapati et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617

[19]

[20]

[21]

[22]

[23]

[24]

[25]

[26]
[27]

CMOS Circuits Considering Accurate
Modeling
of
Transistor
Stacks,”
International Symposium on Low Power
Electronics and Design, pp. 239-244, August
1998.
S. Kang and Y. Leblebici, CMOS Digital
Integrated Circuits, McGraw-Hill, New
York, 2003}.
H. Veendrick, “Short-Circuit Dissipation of
Static CMOS Circuitry and its impact on
Design of Buffers Circuits.” IEEE Journals
of Solid-State Circuits VOL. 19 no. 4 1984.
A. Raghunathan, S. Dey and N.K. Jha
“Glitch analysis and Reduction in Register
Transfer Level power optimization” in
Proceedings of the 33rd design automation
conference. pp 331- 336, 1996.
K. Roy and S. C. Prasad, Low-Power
CMOS VLSI Circuit Design, Wiley
Interscience, New York, 2000.
R. F. Pierret, Semiconductor Device
Fundamentals, Addison-Wesley, Reading,
MA, 1996.
M. Anis, S. Areibi, and M. Elmasry, Design
and Optimization of Multithreshold CMOS
(MTCMOS) Circuits," IEEE Transactions
on Computer-Aided Design of Integrated
Circuits and Systems, vol. 22, No. 10, pp.
1324{1342, 2003}
J. Kao, S. Narendra, and A. Chandrakasan,
Subthreshold Leakage Modeling and
Reduction Techniques," In Proceedings of
the International Conference on Computer
Aided Design, pp. 141{148, 2002}.
J. Brews, High Speed Semiconductor
Devices, Wiley, New York, 1990.
S. Kang and Y. Leblebici, CMOS Digital
Integrated Circuits, McGraw- Hill, New
York, 2003.

www.ijera.com

[28]

[29]

[30]

[31]

[32]

[33]

[34]

www.ijera.com

J. Kao, S. Narendra, and A. Chandrakasan,
Subthreshold Leakage Modeling and
Reduction Techniques," In Proceedings of
the International Conference on Computer
Aided Design, pp. 141{148, 2002.
M. Bohr and et al., “A high-performance
0.25-μm logic technology optimized for
1.8V operation,” In Proceedings of the
International Electron Devices Meeting, pp.
847–850, 1996.
S. Mutoh et al., “1-V Power Supply Highspeed Digital Circuit Technology with
Multithreshold-Voltage CMOS,” IEEE
Journal of Solis-State Circuits, Vol. 30, No.
8, pp. 847-854, August 1995
M. Powell, S.-H. Yang, B. Falsafi, K. Roy
and T. N. Vijaykumar, “Gated-Vdd: A
Circuit Technique to Reduce Leakage in
Deep submicron Cache Memories,”
International Symposium on Low Power
Electronics and Design, pp. 90-95, July
2000.
Z. Chen, M. Johnson, L. Wei and K. Roy,
“Estimation of Standby Leakage Power in
CMOS Circuits Considering Accurate
Modeling
of
Transistor
Stacks,”
International Symposium on Low Power
Electronics and Design, pp. 239-244, August
1998.
J.C. Park, V. J. Mooney III and P.
Pfeiffenberger, “Sleepy Stack Reduction of
Leakage Power,” Proceeding of the
International Workshop on Power and
Timing Modeling, Optimization and
Simulation, pp. 148-158, September 2004.
J. Kao and A. Chandrakasan, "MTCMOS
sequential
circuits,
“Proceedings
of
European Solid-State Circuits Conference,
pp
332335,
September
2001.

617 | P a g e

More Related Content

What's hot

Expert system for sweep frequency response analysis of power transformer
Expert system for sweep frequency response analysis of power transformerExpert system for sweep frequency response analysis of power transformer
Expert system for sweep frequency response analysis of power transformerAlexander Decker
 
Surge Protection Devices Paper (SPD) SM54
Surge Protection Devices Paper (SPD) SM54Surge Protection Devices Paper (SPD) SM54
Surge Protection Devices Paper (SPD) SM54Subhash Mahla
 
Magnetic Levitation through AC Excitation
Magnetic Levitation through AC ExcitationMagnetic Levitation through AC Excitation
Magnetic Levitation through AC Excitationidescitation
 
SUPERCONDUCTOR FAULT CURRENT LIMITER
SUPERCONDUCTOR FAULT CURRENT LIMITERSUPERCONDUCTOR FAULT CURRENT LIMITER
SUPERCONDUCTOR FAULT CURRENT LIMITERMln Phaneendra
 
Analsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationsAnalsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationseSAT Publishing House
 
Superconducting fault current limiters
Superconducting fault current limitersSuperconducting fault current limiters
Superconducting fault current limitersBiswajit Pratihari
 
Introduction to Transient Disturbances of power system and its types
Introduction to Transient Disturbances of power system and its typesIntroduction to Transient Disturbances of power system and its types
Introduction to Transient Disturbances of power system and its typesshantanu Chutiya begger
 
small geometry effect and working of solar cell
small geometry effect and working of solar cellsmall geometry effect and working of solar cell
small geometry effect and working of solar cellShivank Rastogi
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors Chinmay Chepurwar
 
Transient in Power system
Transient in Power systemTransient in Power system
Transient in Power systemPreet_patel
 
Circuit Breakers for Low Voltage Applications
Circuit Breakers for Low Voltage ApplicationsCircuit Breakers for Low Voltage Applications
Circuit Breakers for Low Voltage ApplicationsIsham Rashik
 
Over voltages in power system
Over voltages in power systemOver voltages in power system
Over voltages in power systemSANGEETHA S
 
Ground Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsGround Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsIJERA Editor
 
Lec 8 hysteresis-_eddy_current_losses_in_transformer
Lec 8 hysteresis-_eddy_current_losses_in_transformerLec 8 hysteresis-_eddy_current_losses_in_transformer
Lec 8 hysteresis-_eddy_current_losses_in_transformerAbdul Latif Abro
 

What's hot (19)

Ds32738741
Ds32738741Ds32738741
Ds32738741
 
MULTI Threshold
MULTI ThresholdMULTI Threshold
MULTI Threshold
 
22
2222
22
 
Expert system for sweep frequency response analysis of power transformer
Expert system for sweep frequency response analysis of power transformerExpert system for sweep frequency response analysis of power transformer
Expert system for sweep frequency response analysis of power transformer
 
Surge Protection Devices Paper (SPD) SM54
Surge Protection Devices Paper (SPD) SM54Surge Protection Devices Paper (SPD) SM54
Surge Protection Devices Paper (SPD) SM54
 
Magnetic Levitation through AC Excitation
Magnetic Levitation through AC ExcitationMagnetic Levitation through AC Excitation
Magnetic Levitation through AC Excitation
 
SUPERCONDUCTOR FAULT CURRENT LIMITER
SUPERCONDUCTOR FAULT CURRENT LIMITERSUPERCONDUCTOR FAULT CURRENT LIMITER
SUPERCONDUCTOR FAULT CURRENT LIMITER
 
Analsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationsAnalsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substations
 
Overvoltages 1
Overvoltages 1Overvoltages 1
Overvoltages 1
 
Superconducting fault current limiters
Superconducting fault current limitersSuperconducting fault current limiters
Superconducting fault current limiters
 
Introduction to Transient Disturbances of power system and its types
Introduction to Transient Disturbances of power system and its typesIntroduction to Transient Disturbances of power system and its types
Introduction to Transient Disturbances of power system and its types
 
small geometry effect and working of solar cell
small geometry effect and working of solar cellsmall geometry effect and working of solar cell
small geometry effect and working of solar cell
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors
 
Transient in Power system
Transient in Power systemTransient in Power system
Transient in Power system
 
Circuit Breakers for Low Voltage Applications
Circuit Breakers for Low Voltage ApplicationsCircuit Breakers for Low Voltage Applications
Circuit Breakers for Low Voltage Applications
 
Over voltages in power system
Over voltages in power systemOver voltages in power system
Over voltages in power system
 
Ground Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi CircuitsGround Bounce Noise Reduction in Vlsi Circuits
Ground Bounce Noise Reduction in Vlsi Circuits
 
Lec 8 hysteresis-_eddy_current_losses_in_transformer
Lec 8 hysteresis-_eddy_current_losses_in_transformerLec 8 hysteresis-_eddy_current_losses_in_transformer
Lec 8 hysteresis-_eddy_current_losses_in_transformer
 
Ssfcl
SsfclSsfcl
Ssfcl
 

Viewers also liked

результаты соц. исследования
результаты соц. исследованиярезультаты соц. исследования
результаты соц. исследованияСНУЯЭиП
 
Mamaia de altădată ...
Mamaia de altădată ...Mamaia de altădată ...
Mamaia de altădată ...Ovidiu Slimac
 
крымская республиканская организация вмоо
крымская республиканская организация вмоокрымская республиканская организация вмоо
крымская республиканская организация вмооСНУЯЭиП
 
Madame Tussaud si mostenirea sa
Madame Tussaud si mostenirea saMadame Tussaud si mostenirea sa
Madame Tussaud si mostenirea saOvidiu Slimac
 
Ron Mueck - sculptorul fantastic ...
Ron Mueck   -  sculptorul fantastic ...Ron Mueck   -  sculptorul fantastic ...
Ron Mueck - sculptorul fantastic ...Ovidiu Slimac
 

Viewers also liked (20)

I42024349
I42024349I42024349
I42024349
 
C42061015
C42061015C42061015
C42061015
 
результаты соц. исследования
результаты соц. исследованиярезультаты соц. исследования
результаты соц. исследования
 
Danza del fuego(cl)
Danza del fuego(cl)Danza del fuego(cl)
Danza del fuego(cl)
 
Pentru fii si fice
Pentru fii si ficePentru fii si fice
Pentru fii si fice
 
Mamaia de altădată ...
Mamaia de altădată ...Mamaia de altădată ...
Mamaia de altădată ...
 
крымская республиканская организация вмоо
крымская республиканская организация вмоокрымская республиканская организация вмоо
крымская республиканская организация вмоо
 
Madame Tussaud si mostenirea sa
Madame Tussaud si mostenirea saMadame Tussaud si mostenirea sa
Madame Tussaud si mostenirea sa
 
Ron Mueck - sculptorul fantastic ...
Ron Mueck   -  sculptorul fantastic ...Ron Mueck   -  sculptorul fantastic ...
Ron Mueck - sculptorul fantastic ...
 
Fotografii vechi
Fotografii vechiFotografii vechi
Fotografii vechi
 
Ke3617561763
Ke3617561763Ke3617561763
Ke3617561763
 
Kr3618261830
Kr3618261830Kr3618261830
Kr3618261830
 
Bd4103341345
Bd4103341345Bd4103341345
Bd4103341345
 
K41036370
K41036370K41036370
K41036370
 
P4101104107
P4101104107P4101104107
P4101104107
 
Ak4101210215
Ak4101210215Ak4101210215
Ak4101210215
 
Hc3612711275
Hc3612711275Hc3612711275
Hc3612711275
 
Ep35806817
Ep35806817Ep35806817
Ep35806817
 
Eq35818829
Eq35818829Eq35818829
Eq35818829
 
Ee35741744
Ee35741744Ee35741744
Ee35741744
 

Similar to Cp4201612617

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSVLSICS Design
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesVLSICS Design
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESVLSICS Design
 
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...IDES Editor
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveyIJERA Editor
 
Design of low power 4 bit full adder using sleepy keeper approach
Design of low power 4 bit full adder using sleepy keeper approachDesign of low power 4 bit full adder using sleepy keeper approach
Design of low power 4 bit full adder using sleepy keeper approacheSAT Publishing House
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
A Comparative Study Of Low Power Consumption Techniques In A VLSI Circuit
A Comparative Study Of Low Power Consumption Techniques In A VLSI CircuitA Comparative Study Of Low Power Consumption Techniques In A VLSI Circuit
A Comparative Study Of Low Power Consumption Techniques In A VLSI CircuitIJERA Editor
 
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Associate Professor in VSB Coimbatore
 
Design of Near-Threshold CMOS Logic Gates
Design of Near-Threshold CMOS Logic GatesDesign of Near-Threshold CMOS Logic Gates
Design of Near-Threshold CMOS Logic GatesVLSICS Design
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
 
Accurate leakage current models for MOSFET nanoscale devices
Accurate leakage current models for MOSFET nanoscale devices Accurate leakage current models for MOSFET nanoscale devices
Accurate leakage current models for MOSFET nanoscale devices IJECEIAES
 
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power IJECEIAES
 
Introduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfIntroduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfbkbk37
 

Similar to Cp4201612617 (20)

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologies
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
 
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...
A New Design Technique to Reduce the Ground Bounce Noise and Leakage in Four ...
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
Design of low power 4 bit full adder using sleepy keeper approach
Design of low power 4 bit full adder using sleepy keeper approachDesign of low power 4 bit full adder using sleepy keeper approach
Design of low power 4 bit full adder using sleepy keeper approach
 
W04406104107
W04406104107W04406104107
W04406104107
 
Db4301594597
Db4301594597Db4301594597
Db4301594597
 
Ijecev6n1 03
Ijecev6n1 03Ijecev6n1 03
Ijecev6n1 03
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
A Comparative Study Of Low Power Consumption Techniques In A VLSI Circuit
A Comparative Study Of Low Power Consumption Techniques In A VLSI CircuitA Comparative Study Of Low Power Consumption Techniques In A VLSI Circuit
A Comparative Study Of Low Power Consumption Techniques In A VLSI Circuit
 
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
Improved Power Gating Techniques for Reduction of Noise and Leakage Power in ...
 
Design of Near-Threshold CMOS Logic Gates
Design of Near-Threshold CMOS Logic GatesDesign of Near-Threshold CMOS Logic Gates
Design of Near-Threshold CMOS Logic Gates
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
Accurate leakage current models for MOSFET nanoscale devices
Accurate leakage current models for MOSFET nanoscale devices Accurate leakage current models for MOSFET nanoscale devices
Accurate leakage current models for MOSFET nanoscale devices
 
Ar4301228233
Ar4301228233Ar4301228233
Ar4301228233
 
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
Variable Body Biasing (VBB) based VLSI Design Approach to Reduce Static Power
 
Introduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdfIntroduction gadgets have gained a lot of attention.pdf
Introduction gadgets have gained a lot of attention.pdf
 

Recently uploaded

Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxOnBoard
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Hyundai Motor Group
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphNeo4j
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetEnjoy Anytime
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 

Recently uploaded (20)

Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptx
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptxVulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 

Cp4201612617

  • 1. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 RESEARCH ARTICLE www.ijera.com OPEN ACCESS New Approach to Low-Power & Leakage Current Reduction Technique for CMOS Circuit Design Sujata Prajapati *, Prof. M. Zahid Alam**, Dr. Rita Jain*** (Department of Electronics and Communication Engineering LNCT Bhopal, M.P., India) ABSTRACT Leakage power dissipation has become major portion of total power consumption in the integrated device and is expected to grow exponentially in the next decade as per International Technology Roadmap for Semiconductors (IRTS). This directly affects the battery operated devices as it has long idle times. Thus by scaling down the threshold voltage has tremendously increased the sub threshold leakage current thereby making the static power dissipation very high. To overcome this problem several techniques has been proposed to overcome this high leakage power dissipation. A comprehensive survey and analysis of various leakage power minimization techniques is presented in this paper. Of the available techniques, eight techniques are considered for the analysis namely, Multi Threshold CMOS (MTCMOS), Super Cut-off CMOS (SCCMOS), Forced Transistor Stacking (FTS) and Sleepy Stack (SS), Sleepy keeper (SK), Dual Stack (OS), and LECTOR. From the results, it is observed that Lector techniques produces lower power dissipation than the other techniques due to the ability of power gating. Keywords - Sub-threshold leakage current, Threshold voltage, Transistor stacking, Low power, Deep submicron. I. INTRODUCTION In order to achieve high density and high performance, CMOS technology feature size and threshold voltage have been scaling down for decades. Because of this technology trend, transistor leakage power has increased exponentially. As the feature size becomes smaller, shorter channel lengths result in increased subthreshold leakage current through a transistor when it is off. Low threshold voltage also results in increased subthreshold leakage current because transistors cannot be turned off completely. For these reasons, static power consumption, i.e., leakage power dissipation, has become a significant portion of total power consumption for current and future silicon technologies. There are several VLSI techniques to reduce leakage power. Each technique provides an efficient way to reduce leakage power, but disadvantages of each technique limit the application of each technique. We propose a new approach, thus providing a new choice to low-leakage power VLSI designers. Previous techniques are summarized and compared with our new approach presented in this paper. II. LITERATURE REVIEW Subthreshold leakage current (Isub) in MOS transistors, which occurs when the gate voltage is below the threshold voltage and mainly, consists of diffusion current. Off-state leakage in present-day devices is usually dominated by this type of leakage. www.ijera.com An effect called drain-induced barrier lowering (DIBL) takes place when a high-drain voltage is applied to a short channel device. The source injects carriers into the channel surface (independent of gate voltage). Narrow width of the transistor can also modulate the threshold voltage and the subthreshold current. W I sub  0 .Cox . .V 2 .e1.8 .e L (Vgs VT ) nV (1.1) where, µ0 is the zero bias mobility, Cox is the gate oxide capacitance, and (W/L) represents the width to the length ratio of the leaking MOS device. The variable V in equation 1.1 is the thermal voltage constant, and Vgs represents the gate to the source voltage. The parameter n in equation 1.1 is the subthreshold swing coefficient given by 1 + (Cd/Cox) with Cd being the depletion layer capacitance of the source/drain junction. One important point about equation 1.1 is that the sub threshold leakage current is exponentially proportional to (Vgs-VT). Shorter channel length results in lower threshold voltages and increases subthreshold leakage. As temperature increases, subthreshold leakage is also increased. On the other hand, when the well-to-source junction of a MOSFET is reverse biased, there is a body effect that increases the threshold voltage and decreases subthreshold leakage. 612 | P a g e
  • 2. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 Gate oxide tunneling current (Igate) in which tunneling of electrons that can result in leakage when there is a high electric field across a thin gate oxide layer. Electrons may tunnel into the conduction band of the oxide layer; this is called Fowler-Nordheim tunneling. In oxide layers less than 3-4 nm thick, there can also be direct tunneling through the silicon oxide layer. Mechanisms for direct tunneling include electron tunneling in the conduction band, electron tunneling in the valence band, and hole tunneling in the valence band. www.ijera.com since the intermediate node has a voltage above the ground. These results in reduction of DIBL effect hence better leakage savings. However, forced stack devices have a strong performance degradation that must be taken into account when applying the technique [3-5]. INPUT1 OUT INPUT2 A. SLEEP MODE APPROACH We here review previously proposed circuit level approaches for subthreshold leakage power reduction. The most well-known traditional approach is the sleep approach [2][3]. In the sleep approach, both (i) an additional "sleep" PMOS transistor is placed between VDD and the pull-up network of a circuit and (ii) an additional "sleep" NMOS transistor is placed between the pull-down network and GND. These sleep transistors turn off the circuit by cutting off the power rails. Figure 1 shows its structure. The sleep transistors are turned on when the circuit is active and turned off when the circuit is idle. By cutting off the power source, this technique can reduce leakage power effectively. However, output will be floating after sleep mode, so the technique results in destruction of state plus a floating output voltage. Fig. 2. Stack Approach based 2 input NAND gate C. LEAKAGE FEEDBACK APPROACH: The leakage feedback approach is based on the sleep approach. However, the leakage feedback approach uses two additional transistors to maintain logic state during sleep mode, and the two transistors are driven by the output of an inverter which is driven by output of the circuit implemented utilizing leakage feedback [34]. S S1 Pull up Network Out Pull down Network INP1 OUT INP2 SI c c S2 Fig.3. Leakage Feedback Approach Fig.1. Sleep Approach NAND gate B. STACK APPROACH Another leakage power reduction technique is the stack approach, which forces a stack affect by breaking down an existing transistor into two half size transistors. Subthreshold leakage is exponentially related to the threshold voltage of the device, and the threshold voltage changes due to body effect [4]. From these two facts, one can reduce the subthreshold leakage in the device by stacking two or more transistors serially [5]. The transistors above the lowest transistor will experience a higher threshold voltage due to the difference in the voltage between the source and body as shown in Figure 2. Also, the Vds of the higher transistor is decreased, www.ijera.com As shown in Figure 3, a PMOS transistor is placed in parallel to the sleep transistor (S) and a NMOS transistor is placed in parallel to the sleep transistor (S'). The two transistors are driven by the output of the inverter which is driven by the output of the circuit. During sleep mode, sleep transistors are turned off and one of the transistors in parallel to the sleep transistors keep the connection with the appropriate power rail. D. SLEEPY STACK APPROACH The main idea behind the sleepy stack technique is to combine the sleep transistor approach during active mode with the stack approach during sleep mode. The structure of the sleepy stack approach is shown in Fig. 4. The sleepy stack 613 | P a g e
  • 3. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 technique divides existing transistors into two transistors each typically with the same width half the size of the original single transistor‟s width. Then sleep transistors are added in parallel to one of the transistors in each set of two stacked transistors; the divided transistors reduce leakage power using the stack effect while retaining state [5]. The sleepy stack technique divides existing transistors into two transistors each typically with the same width W1 half the size of the original single transistor‟s width (i.e.W1 = W0/2), thus, maintaining equivalent input capacitance. The added sleep transistors operate similar to the sleep transistors used in the sleep technique in which sleep transistors are turned on during active mode and turned off during sleep mode [6]. During active mode, S=0 and S’=1 are asserted, and thus all sleep transistors are turned on. Due to the added sleep transistor, the resistance through the activated (i.e., “on”) path decreases, and the propagation delay decreases (compared to not adding sleep transistors while leaving the rest of the circuitry the same, i.e., with stacked transistors). During the sleep mode, S=1 and S’=0 are asserted, and so both of the sleep transistors are turned off. The stacked transistors in the sleepy stack approach suppress leakage current. Although the sleep transistors are turned off, the sleepy stack structure maintains exact logic state. The leakage reduction of the sleepy stack structure occurs in two ways. First, leakage power is suppressed by high- transistors, which are applied to the sleep transistors and the transistors parallel to the sleep transistors. Second, stacked and turned off transistors induce the stack effect which also suppresses leakage power consumption. By combining these two effects, the sleepy stack structure achieves ultra-low leakage power consumption during sleep mode while retaining exact logic state. The price for this, however, is increased area [4]. S INPUT1 INPUT2 OUTPUT S www.ijera.com An additional single NMOS transistor placed in parallel to the pull-up sleep transistor connects VDD to the pull-up network. When in sleep mode, this NMOS transistor is the only source of VDD to the pull-up network since the sleep transistor is off. Similarly, to maintain a value of „0‟ in sleep mode, given that the „0‟ value ha already been s calculated, the sleepy keeper approach uses this output value of „0‟ and a PMOS transistor connected to GND to maintain output value equal to „0‟ when in sleep mode. As shown in Figure 5, an additional single PMOS transistor placed in parallel to the pull-down sleep transistor is the only source of GND to the case pull-down network which is the dual case of the output „1‟ explained above [3]. For this approach to work, all that is needed is for the NMOS connected to VDD and the PMOS connected to GND to be able to maintain proper logic state[11]. This seems likely to be possible as other researchers have described ways to use far lower VDD values to maintain logic state. III. PROPOSED TECHNIQUE MODIFIED LECTOR TECHNIQUE In LECTOR technique two leakage control transistors (one p-type and one n-type) are introduced between pull-up and pull-down circuit within the logic gate for which the gate terminal of each leakage control transistor (LCT) is controlled by the source of the other. This arrangement ensures that one of the LCTs always operates in its near cutoff region. The basic idea behind LECTOR approach is that “a state with more than one transistor OFF in a path from supply voltage to ground is far less leaky than a state with only one transistor OFF in any supply to ground path. When deep submicron transistor is operating in subthreshold region, the standby current varies exponentially with gate to source voltage. Most of the CMOS logic circuits are composed of series and parallel combination of MOS transistors. For parallel connected MOS transistors the DC current is calculated as the sum of the currents of each parallel connected transistor. In case of series connected transistors leakage current calculation is typical due to its nonlinear characteristics. In case of near cut off operation of transistors the resistance of transistor is as high as an OFF transistor’s resistance but the available resistance is sufficient to increase the supply voltage to ground path resistance and so to reduce the leakage power dissipation. S Fig. 4. Sleepy Stack Approach based 2 input NAND gate www.ijera.com 614 | P a g e
  • 4. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 INP1 www.ijera.com INP2 OUT Proposed Lector With Multiplexer IV. SIMULATION RESULTS Fig. 6. Proposed technique Sleepy Lector with high Vth transistors A 2 input NAND gate is simulated with leakage power reduction techniques sleep, forced stack, sleepy keeper and sleepy stack with DTCMOS. After analyzing the results in terms of average power consumption, dynamic power consumption, static power consumption, delay and PDP we conclude that sleepy stack with DTCMOS is producing comparatively better results. All schematics are designed on Cadence virtuoso schematic editor and simulations are done on Micro wind & Cadence spectre simulator on 65nm technology and supply voltage of 1V. The circuits are simulated with high threshold and low threshold NMOS and PMOS transistors. Average Power, delay & PDP Calculation of different Technique Technique Fig.7. Output waveform of Lector with sleep Table: 1.Truth table of 4*1 MUX A B Y 0 0 I0 0 0 0 0 1 0 I1 0 0 1 0 0 0 I2 0 1 1 0 0 0 I3 www.ijera.com Base case NAND Gate Forced stacking Sleep Transistor with Low Vth NAND Gate with Lector Proposed MUX with Lector Average Power(uW) 1.532 Delay(pS) 3.70 Power Delay Product(PDP) 5.66 2.49 9.74 24.30 1.25 6.91 8.68 .749 .359 .2688 5.68 14.78 83.950 615 | P a g e
  • 5. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 V. CONCLUSION Leakage reduction technique plays a key role in VLSI circuit design. Scaling down the appropriate parameter can reduce the leakage power. [t can be concluded that there is a strong correlation between three performance parameters: leakage power, delay, power delay product. There can be compromise in the performance metrics by reducing the other metric parameter. It can be concluded that SCCMOS provides efficient leakage power savings in standby and forced stacking modes. LECTOR method found more effective in both standby and active mode of operation. If propagation delay is taken as the performance metrics, then sleep transistor method is proved effective method in the standby mode. In active mode, sleepy stack based approach is suitable for faster circuit operation. All the above methods are suitable for circuit level of abstraction. [8] [9] [10] [11] REFERANCES [1] [2] [3] [4] [5] [6] [7] J.C. Park and V. J. Mooney III, “Sleepy stack leakage reduction,” IEEETrans. VLSI Systems, vol. 14, no. 11, pp. 1250-1263, Nov. 2006 S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigemitsu, and J. Yamada,1-V Power Supply High-Speed Digital Circuit Technology with Multi-Threshold Voltage CMOS," IEEE Journal of Solid-State Circuits, vol. 30, No. 8, pp. 847{854, 1995}. S. Mutoh, S. Shigematsu, Y. Matsuya, H. Fukuda, T. Kaneko, and J. Yamada, A 1-V multi-threshold-voltage CMOS digital signal processor for mobile phone applications," IEEE Journal of Solid-State Circuits, pp. 1795{1802, 1996.} A. Chandrakasan, I. Yang, C. Vieri, and D. Antoniadis, Design Considerations and Tools for Low-Voltage Digital System Design," In Proceedings of the 33rd Design Automation Conference, pp. 113{118, 1996}. J. Kao, A. Chandrakasan, and D. Antoniadis, Transistor Sizing Issues and Tools for Multi-threshold CMOS Technology," In Proceedings of the 34th Design Automation Conference, pp. 409{414, Las Vegas, Nevada, 1997}. J. M. Rabaey, Digital Integrated Circuits, Prentice Hall, NJ, 1996. J. Kao, S. Narendra, and A. Chandrakasan, Sub-threshold Leakage Modeling and Reduction Techniques," In Proceedings of the International Conference on Computer Aided Design, pp. 141{148, 2002}. www.ijera.com [12] [13] [14] [15] [16] [17] [18] www.ijera.com J. Kao, S. Narendra, and A. Chandrakasan, MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns," In Proceedings of the 35th Design Automation Conference, pp. 495{500, Las Vegas, Nevada, 1998}. M. Anis, S. Areibi, and M. Elmasry, Design and Optimization of Multithreshold CMOS (MTCMOS) Circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, No.10, pp. 1324{1342, 2003}. M. Anis, M. Mahmoud, and M. Elmasry, E_cient Gate Clustering for MTCMOS Circuits," In Proceedings of the 14th Annual International ASIC/SOC Conference, pp. 34{38, Washington, DC, 2001}. M. Anis, S. Areibi, M. Mahmoud, and M. Elmasry, Dynamic and Leakage Power Reduction in MTCMOS Circuits Using an Automated Efficient Gate Clustering," In Proceedings of the 39th Design Automation Conference, pp. 480{485, New Orleans, 2002.} Anup jalan and mamta khosla “analysisof leakage power reduction techniques in digital circuits”. India Conference (INDICON), 2011AnnualIEEE, Dec. 2011 Uming Ko, Poras T. Balsara, andWai Lee, “Low-power design techniques for highperformance CMOS adders,” IEEE Trans. VLSI Syst., vol. 3, no. 2, June 1995 pp. 327–333 Y. F.Tsai, D. Duarte, N. Vijaykrishnan, and M. J. Irwin, “Implications of Technology Scaling on Leakage Reduction Techniques,” In Proceedings of the 40th Design Automation Conference, pp. 187–190, Anaheim, 2003. T. Karnik, S. Borkar, and V. De, “Sub-90nm Technologies – Challenges and Opportunities for CAD,” In Proceedings of the International Conference on Computer Aided Design, pp. 203–206, 2002. S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada, “1-V Power Supply High-Speed Digital Circuit Technology with Multi-Threshold Voltage CMOS,” IEEE Journal of Solid-State Circuits, vol. 30, No. 8, pp. 847–854, 1995. C. Long and L. He, “Distributed Sleep Transistor Network for Power Reduction,” In Proceedings of the 40th Design Automation Conference, pp. 181–186, Anaheim, 2003. Z. Chen, M. Johnson, L. Wei and K. Roy, “Estimation of Standby Leakage Power in 616 | P a g e
  • 6. Sujata Prajapati et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 2( Version 1), February 2014, pp.612-617 [19] [20] [21] [22] [23] [24] [25] [26] [27] CMOS Circuits Considering Accurate Modeling of Transistor Stacks,” International Symposium on Low Power Electronics and Design, pp. 239-244, August 1998. S. Kang and Y. Leblebici, CMOS Digital Integrated Circuits, McGraw-Hill, New York, 2003}. H. Veendrick, “Short-Circuit Dissipation of Static CMOS Circuitry and its impact on Design of Buffers Circuits.” IEEE Journals of Solid-State Circuits VOL. 19 no. 4 1984. A. Raghunathan, S. Dey and N.K. Jha “Glitch analysis and Reduction in Register Transfer Level power optimization” in Proceedings of the 33rd design automation conference. pp 331- 336, 1996. K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design, Wiley Interscience, New York, 2000. R. F. Pierret, Semiconductor Device Fundamentals, Addison-Wesley, Reading, MA, 1996. M. Anis, S. Areibi, and M. Elmasry, Design and Optimization of Multithreshold CMOS (MTCMOS) Circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, No. 10, pp. 1324{1342, 2003} J. Kao, S. Narendra, and A. Chandrakasan, Subthreshold Leakage Modeling and Reduction Techniques," In Proceedings of the International Conference on Computer Aided Design, pp. 141{148, 2002}. J. Brews, High Speed Semiconductor Devices, Wiley, New York, 1990. S. Kang and Y. Leblebici, CMOS Digital Integrated Circuits, McGraw- Hill, New York, 2003. www.ijera.com [28] [29] [30] [31] [32] [33] [34] www.ijera.com J. Kao, S. Narendra, and A. Chandrakasan, Subthreshold Leakage Modeling and Reduction Techniques," In Proceedings of the International Conference on Computer Aided Design, pp. 141{148, 2002. M. Bohr and et al., “A high-performance 0.25-μm logic technology optimized for 1.8V operation,” In Proceedings of the International Electron Devices Meeting, pp. 847–850, 1996. S. Mutoh et al., “1-V Power Supply Highspeed Digital Circuit Technology with Multithreshold-Voltage CMOS,” IEEE Journal of Solis-State Circuits, Vol. 30, No. 8, pp. 847-854, August 1995 M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijaykumar, “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep submicron Cache Memories,” International Symposium on Low Power Electronics and Design, pp. 90-95, July 2000. Z. Chen, M. Johnson, L. Wei and K. Roy, “Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistor Stacks,” International Symposium on Low Power Electronics and Design, pp. 239-244, August 1998. J.C. Park, V. J. Mooney III and P. Pfeiffenberger, “Sleepy Stack Reduction of Leakage Power,” Proceeding of the International Workshop on Power and Timing Modeling, Optimization and Simulation, pp. 148-158, September 2004. J. Kao and A. Chandrakasan, "MTCMOS sequential circuits, “Proceedings of European Solid-State Circuits Conference, pp 332335, September 2001. 617 | P a g e