SlideShare a Scribd company logo
1 of 20
Roll-to-Roll ALD Coatings for Battery Cell
Interfaces at Production Scale
ALD/ALE 2022
D.Sc. Andrew Cook
andrew.cook@beneq.com
7/5/2022
BENEQ
• Supplier of ALD Equipment and Services
• Headquarters & ALD Fab in Espoo Finland with 40+ ALD systems for service & production
• 30+ years of industrial ALD experience at your service
7/5/2022
Key Battery Markets
Electric Vehicles
Portable Electronics
Internet of Things (IoT)
VTOL Lilium Jet
Energy Storage
Battery Markets
7/5/2022
Forecasted battery technology evolution
Source: Joint Research Centre (European Commission), 2018. doi:10.2760/75757
Cell chemistry
Generation 5 • Li/O2 (lithium-air)
Generation 4
• All-solid-state with lithium anode
• Conversion materials (primarily lithium sulphur)
Generation 3b
• Cathode: HE-NCM (high energy, high nickel content), HVS
(high-voltage spinel/LMNO)
• Anode: silicon/carbon
Generation 3a
• Cathode: NCM622 to NCM811
• Anode: carbon (graphite) + silicon component (5-10%)
Generation 2b
• Cathode: NCM523 to NCM622
• Anode: carbon
Generation 2a
• Cathode: NCM111
• Anode: 100% carbon
Generation 1
• Cathode: LFP, NCA
• Anode: 100% carbon
>2025 ?
~2025
~2020
current
7/5/2022
Key Battery Performance Metrics
• Battery capacity – is a measure of the
amperage a battery can provide in
exactly one hour and is quoted in A/hr.
Specific capacity is capacity per unit
weight
• Energy Density – is a measure of the
energy a battery contains as a portion of
its weight
• Lifetime
• Environmental friendliness
• Safety
• Cost
7/5/2022
ALD for Batteries - background
• Lot of research has been done since 2010 about ALD on Lithium-Ion Batteries
• Overall, ALD introduction to battery manufacturing has been slow and limited by the
availability of production scale ALD systems.
• One focus area has been coating of the cathode active materials as particles
• Now many research groups are interested in comparing the ALD on particles with
ALD on electrodes.
• More recently several groups have started research on ALD for Solid State Lithium
Batteries
• Beneq has a long experience with R2R ALD on other application areas, and is now
applying that know-how to offer R2R ALD solutions for battery manufacturing
7/5/2022
Li ion battery – ALD can help to
Adopted from: Ye Jin, Han Yu, and Xinhua Liang Appl. Phys. Rev. 8, 031301 (2021); https://doi.org/10.1063/5.0048337
Improve stability of SEI layer
Suppress dendrite growth
Improve wettability
Inhibit transition metal dissolution
Separator
SSE
Stop particle cracking
Improve interfacial contact
Improve thermal stability
Inhibit transformation and pulverisation
Anode Cathode
Cu
Foil
Al
Foil
7/5/2022
Battery Manufacturing Process
ALD value add
7/5/2022
Solution: Functional ALD Layers
Encapsulation &
dendrite suppression
https://doi.org/10.1021/acsami.8b08585
https://doi.org/10.1016/j.nanoen.2017.02.04
3
Anode Coating
https://doi.org/10.1002/er.5371
Separator Protective Coating
https://doi.org/10.1007/s11051-014-2745-z
Cathode Protection
• Protective coatings on cathodes
• Anode coatings
• Dendrite growth suppression
• Protective coatings on separator films
7/5/2022
ALD coating of particles
• Lot of the ALD work on LIB has been done on particles, especially on cathode active
materials
• Two alternative ways to coat CAM particles by ALD
a) Coat loose particles and then place them on the foil
b) Place particles on the foil and then coat them
Coating as loose particles -
Fluidized bed process
Coating as particles on foil
– R2R process
7/5/2022
Cathodes – R2R ALD or Particle ALD
R2R advantages:
• You get the coating where
you want it – on external
surfaces, but not between
the particles
• Does not impact the
previous casting step
Coating of Electrodes Coating of Active
material as particles
Process type R2R ALD Fluidized bed ALD
Contact between
particles
Good Poor
ALD process
temperature
Limited by binder Wider range
Process integration Easier - no impact to
previous casting step
Will impact casting
step
R2R ALD works also on non-particle –based 3D
structures, such as nanotubes, etched pillars etc.
7/5/2022
R2R ALD process principle
7/5/2022
Offering: R2R Genesis ALD System
• Web Material:
Copper, Aluminum, Stainless steel, polymer,
paper and other substrates suitable for vacuum coating
• Web width, max.: 420 mm
• Machine Speed:
• Production Minimum 0.1 m/min
• Production Maximum 10 m/min
• ALD Materials: Al2O3, TiO2, ZnO, ZnS, SiO2 and more
• Process temp., max: 250°C
Optional designs for wider webs and line integration available Genesis ALD
in collaboration with
R2R Production
7/5/2022
ALD systems used in development services trials
•
TFS 200 and SCS-1000 systems are currently used for ALD development services for coating battery samples
7/5/2022
ALD Al2O3 coating on NCM811 cathode foils
As received samples
Long cycling conditions:
0.5C, 3.0 – 4.4 V
ALD Coating shows increase in specific capacity
and significant increases in cycling stability
7/5/2022
Summary
• ALD is an enabling technology for future batteries
• ALD technology introduction has been hindered by lack
of production scale equipment
• Most applications need films in the range of 1-20 nm
 well suited for Roll-to-Roll ALD
• Beneq R2R ALD technology offers a straightforward
scale-up path to mass-production
• Protective coatings on anode, cathode and separator
• Coating on particles in R2R mode
• Suitable for 3D structures
• R&D / Pilot scale equipment exist already, larger
production systems available as custom design
Beneq® is a leading supplier of production and research equipment for atomic layer deposition
(ALD), a provider of thin film coating services, and the world’s premier manufacturer of thin
film electroluminescent (TFEL and TASEL) displays.
www.beneq.com | www.lumineq.com
Tel. +358 9 7599 530
info@beneq.com | lumineq@beneq.com
firstname.lastname@beneq.com
Thank You!
7/5/2022
Electrode Manufacturing
https://www.ukbic.co.uk/virtual-tour/
ALD
Powder ALD
7/5/2022
BENEQ ALD systems
Research & Development Equipment
Beneq R2 Beneq TFS200 Beneq TFS500
CONFIDENTIAL
7/5/2022
BENEQ ALD systems
3D & Batch
Beneq P400A Beneq P800 Beneq P1500
CONFIDENTIAL

More Related Content

What's hot

Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidescdtpv
 
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Riikka Puurunen
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGJonas Sundqvist
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsBeneq
 
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 Plasma
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 PlasmaFilm Properties of ALD SiNx Deposited by Trisilylamine and N2 Plasma
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 PlasmaBeneq
 
Cambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridgeNano
 
Solid oxide fuel cell technology
Solid oxide fuel cell technologySolid oxide fuel cell technology
Solid oxide fuel cell technologyA Reddy
 
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...Beneq
 
Nano particles synthesis
Nano particles synthesisNano particles synthesis
Nano particles synthesisinamchemist
 
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Yole Developpement
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 
Reactive ion etching (RIE)
Reactive ion etching (RIE)Reactive ion etching (RIE)
Reactive ion etching (RIE)SumanKundu40
 
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021 Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021 Riikka Puurunen
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
Synthesis and Characterization of Core/Shell Nanoparticles
Synthesis and Characterization of Core/Shell NanoparticlesSynthesis and Characterization of Core/Shell Nanoparticles
Synthesis and Characterization of Core/Shell NanoparticlesShamoon_ssr
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementYole Developpement
 

What's hot (20)

Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
 
ALD_Kessels.pdf
ALD_Kessels.pdfALD_Kessels.pdf
ALD_Kessels.pdf
 
Atomic layer Deposition _Mukhtar Hussain awan
Atomic layer Deposition _Mukhtar Hussain awanAtomic layer Deposition _Mukhtar Hussain awan
Atomic layer Deposition _Mukhtar Hussain awan
 
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
Invited talk at 98th CSC: Surface chemistry of ALD: mechanisms and conformality
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
 
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 Plasma
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 PlasmaFilm Properties of ALD SiNx Deposited by Trisilylamine and N2 Plasma
Film Properties of ALD SiNx Deposited by Trisilylamine and N2 Plasma
 
Cambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD TutorialCambridge NanoTech ALD Tutorial
Cambridge NanoTech ALD Tutorial
 
Solid oxide fuel cell technology
Solid oxide fuel cell technologySolid oxide fuel cell technology
Solid oxide fuel cell technology
 
Surfaces of Metal Oxides.
Surfaces of Metal Oxides.Surfaces of Metal Oxides.
Surfaces of Metal Oxides.
 
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...
Spatial ALD: A New Revolution in Ultra-Fast Production of Conformal Optical C...
 
Nano particles synthesis
Nano particles synthesisNano particles synthesis
Nano particles synthesis
 
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
Permanent Wafer Bonding for Semiconductor: Application Trends & Technology 20...
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 
Reactive ion etching (RIE)
Reactive ion etching (RIE)Reactive ion etching (RIE)
Reactive ion etching (RIE)
 
Perovskite Solar Cells
Perovskite Solar CellsPerovskite Solar Cells
Perovskite Solar Cells
 
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021 Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021
Slides of invited "ALD 101" tutorial by Puurunen at ALD 2021
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Synthesis and Characterization of Core/Shell Nanoparticles
Synthesis and Characterization of Core/Shell NanoparticlesSynthesis and Characterization of Core/Shell Nanoparticles
Synthesis and Characterization of Core/Shell Nanoparticles
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole Développement
 

Similar to Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale

The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfBeneq
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingJonas Sundqvist
 
Ntnu silicon for the chemical and photovoltaic industry norway 2018
Ntnu silicon for the chemical and photovoltaic industry norway 2018Ntnu silicon for the chemical and photovoltaic industry norway 2018
Ntnu silicon for the chemical and photovoltaic industry norway 2018Lou Parous
 
Rotary PEALD: in-situ monitoring of optical coatings
Rotary PEALD: in-situ monitoring of optical coatingsRotary PEALD: in-situ monitoring of optical coatings
Rotary PEALD: in-situ monitoring of optical coatingsBeneq
 
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsGaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsYole Developpement
 
Atomic Layer Deposition for next-generation optical coatings
Atomic Layer Deposition for next-generation optical coatingsAtomic Layer Deposition for next-generation optical coatings
Atomic Layer Deposition for next-generation optical coatingsBeneq
 
IRJET- Simulation of High K Dielectric MOS with HFo2 as a Gate Dielectric
IRJET-  	  Simulation of High K Dielectric MOS with HFo2 as a Gate DielectricIRJET-  	  Simulation of High K Dielectric MOS with HFo2 as a Gate Dielectric
IRJET- Simulation of High K Dielectric MOS with HFo2 as a Gate DielectricIRJET Journal
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioIvana Ivanovska
 
Overview of Gigalight
Overview of GigalightOverview of Gigalight
Overview of GigalightGigalight
 
KEMET Webinar - Film capacitors in SMD
KEMET Webinar - Film capacitors in SMDKEMET Webinar - Film capacitors in SMD
KEMET Webinar - Film capacitors in SMDIvana Ivanovska
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarRandall Ghany
 
Saral Gyan Hidden Gem - Nov 2010
Saral Gyan Hidden Gem - Nov 2010Saral Gyan Hidden Gem - Nov 2010
Saral Gyan Hidden Gem - Nov 2010SaralGyanTeam
 
Powering ahead Denis Goffaux
Powering ahead Denis GoffauxPowering ahead Denis Goffaux
Powering ahead Denis GoffauxUmicore
 
Advances in Ceramic Capacitors
Advances in Ceramic CapacitorsAdvances in Ceramic Capacitors
Advances in Ceramic CapacitorsRandall Ghany
 
Silver nanowires
Silver nanowiresSilver nanowires
Silver nanowirescherukurir
 
Automating lifetime simulation of power PCBs
Automating lifetime simulation of power PCBsAutomating lifetime simulation of power PCBs
Automating lifetime simulation of power PCBsGreg Caswell
 
IEEE_PVSC_June_2010_HelioVolt
IEEE_PVSC_June_2010_HelioVoltIEEE_PVSC_June_2010_HelioVolt
IEEE_PVSC_June_2010_HelioVoltHelioVolt
 
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSHIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSJonas Sundqvist
 

Similar to Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale (20)

The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdf
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturing
 
Ntnu silicon for the chemical and photovoltaic industry norway 2018
Ntnu silicon for the chemical and photovoltaic industry norway 2018Ntnu silicon for the chemical and photovoltaic industry norway 2018
Ntnu silicon for the chemical and photovoltaic industry norway 2018
 
Rotary PEALD: in-situ monitoring of optical coatings
Rotary PEALD: in-situ monitoring of optical coatingsRotary PEALD: in-situ monitoring of optical coatings
Rotary PEALD: in-situ monitoring of optical coatings
 
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsGaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
 
Atomic Layer Deposition for next-generation optical coatings
Atomic Layer Deposition for next-generation optical coatingsAtomic Layer Deposition for next-generation optical coatings
Atomic Layer Deposition for next-generation optical coatings
 
IRJET- Simulation of High K Dielectric MOS with HFo2 as a Gate Dielectric
IRJET-  	  Simulation of High K Dielectric MOS with HFo2 as a Gate DielectricIRJET-  	  Simulation of High K Dielectric MOS with HFo2 as a Gate Dielectric
IRJET- Simulation of High K Dielectric MOS with HFo2 as a Gate Dielectric
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolio
 
Overview of Gigalight
Overview of GigalightOverview of Gigalight
Overview of Gigalight
 
KEMET Webinar - Film capacitors in SMD
KEMET Webinar - Film capacitors in SMDKEMET Webinar - Film capacitors in SMD
KEMET Webinar - Film capacitors in SMD
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinar
 
Saral Gyan Hidden Gem - Nov 2010
Saral Gyan Hidden Gem - Nov 2010Saral Gyan Hidden Gem - Nov 2010
Saral Gyan Hidden Gem - Nov 2010
 
Powering ahead Denis Goffaux
Powering ahead Denis GoffauxPowering ahead Denis Goffaux
Powering ahead Denis Goffaux
 
Advances in Ceramic Capacitors
Advances in Ceramic CapacitorsAdvances in Ceramic Capacitors
Advances in Ceramic Capacitors
 
Advances in Ceramic Capacitors
Advances in Ceramic CapacitorsAdvances in Ceramic Capacitors
Advances in Ceramic Capacitors
 
3 uhdenora281014-final
3 uhdenora281014-final3 uhdenora281014-final
3 uhdenora281014-final
 
Silver nanowires
Silver nanowiresSilver nanowires
Silver nanowires
 
Automating lifetime simulation of power PCBs
Automating lifetime simulation of power PCBsAutomating lifetime simulation of power PCBs
Automating lifetime simulation of power PCBs
 
IEEE_PVSC_June_2010_HelioVolt
IEEE_PVSC_June_2010_HelioVoltIEEE_PVSC_June_2010_HelioVolt
IEEE_PVSC_June_2010_HelioVolt
 
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSHIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
 

Recently uploaded

Animal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxAnimal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxUmerFayaz5
 
Types of different blotting techniques.pptx
Types of different blotting techniques.pptxTypes of different blotting techniques.pptx
Types of different blotting techniques.pptxkhadijarafiq2012
 
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |aasikanpl
 
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCR
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCRStunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCR
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCRDelhi Call girls
 
Work, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE PhysicsWork, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE Physicsvishikhakeshava1
 
Natural Polymer Based Nanomaterials
Natural Polymer Based NanomaterialsNatural Polymer Based Nanomaterials
Natural Polymer Based NanomaterialsAArockiyaNisha
 
Unlocking the Potential: Deep dive into ocean of Ceramic Magnets.pptx
Unlocking  the Potential: Deep dive into ocean of Ceramic Magnets.pptxUnlocking  the Potential: Deep dive into ocean of Ceramic Magnets.pptx
Unlocking the Potential: Deep dive into ocean of Ceramic Magnets.pptxanandsmhk
 
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxSOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxkessiyaTpeter
 
Isotopic evidence of long-lived volcanism on Io
Isotopic evidence of long-lived volcanism on IoIsotopic evidence of long-lived volcanism on Io
Isotopic evidence of long-lived volcanism on IoSérgio Sacani
 
GFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxGFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxAleenaTreesaSaji
 
Formation of low mass protostars and their circumstellar disks
Formation of low mass protostars and their circumstellar disksFormation of low mass protostars and their circumstellar disks
Formation of low mass protostars and their circumstellar disksSérgio Sacani
 
G9 Science Q4- Week 1-2 Projectile Motion.ppt
G9 Science Q4- Week 1-2 Projectile Motion.pptG9 Science Q4- Week 1-2 Projectile Motion.ppt
G9 Science Q4- Week 1-2 Projectile Motion.pptMAESTRELLAMesa2
 
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxPhysiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxAArockiyaNisha
 
Caco-2 cell permeability assay for drug absorption
Caco-2 cell permeability assay for drug absorptionCaco-2 cell permeability assay for drug absorption
Caco-2 cell permeability assay for drug absorptionPriyansha Singh
 
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral Analysis
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral AnalysisRaman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral Analysis
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral AnalysisDiwakar Mishra
 
Spermiogenesis or Spermateleosis or metamorphosis of spermatid
Spermiogenesis or Spermateleosis or metamorphosis of spermatidSpermiogenesis or Spermateleosis or metamorphosis of spermatid
Spermiogenesis or Spermateleosis or metamorphosis of spermatidSarthak Sekhar Mondal
 
Analytical Profile of Coleus Forskohlii | Forskolin .pptx
Analytical Profile of Coleus Forskohlii | Forskolin .pptxAnalytical Profile of Coleus Forskohlii | Forskolin .pptx
Analytical Profile of Coleus Forskohlii | Forskolin .pptxSwapnil Therkar
 

Recently uploaded (20)

Animal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxAnimal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptx
 
Types of different blotting techniques.pptx
Types of different blotting techniques.pptxTypes of different blotting techniques.pptx
Types of different blotting techniques.pptx
 
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
 
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCR
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCRStunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCR
Stunning ➥8448380779▻ Call Girls In Panchshil Enclave Delhi NCR
 
Work, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE PhysicsWork, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE Physics
 
The Philosophy of Science
The Philosophy of ScienceThe Philosophy of Science
The Philosophy of Science
 
Natural Polymer Based Nanomaterials
Natural Polymer Based NanomaterialsNatural Polymer Based Nanomaterials
Natural Polymer Based Nanomaterials
 
Unlocking the Potential: Deep dive into ocean of Ceramic Magnets.pptx
Unlocking  the Potential: Deep dive into ocean of Ceramic Magnets.pptxUnlocking  the Potential: Deep dive into ocean of Ceramic Magnets.pptx
Unlocking the Potential: Deep dive into ocean of Ceramic Magnets.pptx
 
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxSOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
 
Isotopic evidence of long-lived volcanism on Io
Isotopic evidence of long-lived volcanism on IoIsotopic evidence of long-lived volcanism on Io
Isotopic evidence of long-lived volcanism on Io
 
GFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxGFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptx
 
Formation of low mass protostars and their circumstellar disks
Formation of low mass protostars and their circumstellar disksFormation of low mass protostars and their circumstellar disks
Formation of low mass protostars and their circumstellar disks
 
G9 Science Q4- Week 1-2 Projectile Motion.ppt
G9 Science Q4- Week 1-2 Projectile Motion.pptG9 Science Q4- Week 1-2 Projectile Motion.ppt
G9 Science Q4- Week 1-2 Projectile Motion.ppt
 
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxPhysiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
 
Caco-2 cell permeability assay for drug absorption
Caco-2 cell permeability assay for drug absorptionCaco-2 cell permeability assay for drug absorption
Caco-2 cell permeability assay for drug absorption
 
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral Analysis
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral AnalysisRaman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral Analysis
Raman spectroscopy.pptx M Pharm, M Sc, Advanced Spectral Analysis
 
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
 
Spermiogenesis or Spermateleosis or metamorphosis of spermatid
Spermiogenesis or Spermateleosis or metamorphosis of spermatidSpermiogenesis or Spermateleosis or metamorphosis of spermatid
Spermiogenesis or Spermateleosis or metamorphosis of spermatid
 
Engler and Prantl system of classification in plant taxonomy
Engler and Prantl system of classification in plant taxonomyEngler and Prantl system of classification in plant taxonomy
Engler and Prantl system of classification in plant taxonomy
 
Analytical Profile of Coleus Forskohlii | Forskolin .pptx
Analytical Profile of Coleus Forskohlii | Forskolin .pptxAnalytical Profile of Coleus Forskohlii | Forskolin .pptx
Analytical Profile of Coleus Forskohlii | Forskolin .pptx
 

Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale

  • 1. Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale ALD/ALE 2022 D.Sc. Andrew Cook andrew.cook@beneq.com
  • 2. 7/5/2022 BENEQ • Supplier of ALD Equipment and Services • Headquarters & ALD Fab in Espoo Finland with 40+ ALD systems for service & production • 30+ years of industrial ALD experience at your service
  • 3. 7/5/2022 Key Battery Markets Electric Vehicles Portable Electronics Internet of Things (IoT) VTOL Lilium Jet Energy Storage Battery Markets
  • 4. 7/5/2022 Forecasted battery technology evolution Source: Joint Research Centre (European Commission), 2018. doi:10.2760/75757 Cell chemistry Generation 5 • Li/O2 (lithium-air) Generation 4 • All-solid-state with lithium anode • Conversion materials (primarily lithium sulphur) Generation 3b • Cathode: HE-NCM (high energy, high nickel content), HVS (high-voltage spinel/LMNO) • Anode: silicon/carbon Generation 3a • Cathode: NCM622 to NCM811 • Anode: carbon (graphite) + silicon component (5-10%) Generation 2b • Cathode: NCM523 to NCM622 • Anode: carbon Generation 2a • Cathode: NCM111 • Anode: 100% carbon Generation 1 • Cathode: LFP, NCA • Anode: 100% carbon >2025 ? ~2025 ~2020 current
  • 5. 7/5/2022 Key Battery Performance Metrics • Battery capacity – is a measure of the amperage a battery can provide in exactly one hour and is quoted in A/hr. Specific capacity is capacity per unit weight • Energy Density – is a measure of the energy a battery contains as a portion of its weight • Lifetime • Environmental friendliness • Safety • Cost
  • 6. 7/5/2022 ALD for Batteries - background • Lot of research has been done since 2010 about ALD on Lithium-Ion Batteries • Overall, ALD introduction to battery manufacturing has been slow and limited by the availability of production scale ALD systems. • One focus area has been coating of the cathode active materials as particles • Now many research groups are interested in comparing the ALD on particles with ALD on electrodes. • More recently several groups have started research on ALD for Solid State Lithium Batteries • Beneq has a long experience with R2R ALD on other application areas, and is now applying that know-how to offer R2R ALD solutions for battery manufacturing
  • 7. 7/5/2022 Li ion battery – ALD can help to Adopted from: Ye Jin, Han Yu, and Xinhua Liang Appl. Phys. Rev. 8, 031301 (2021); https://doi.org/10.1063/5.0048337 Improve stability of SEI layer Suppress dendrite growth Improve wettability Inhibit transition metal dissolution Separator SSE Stop particle cracking Improve interfacial contact Improve thermal stability Inhibit transformation and pulverisation Anode Cathode Cu Foil Al Foil
  • 9. 7/5/2022 Solution: Functional ALD Layers Encapsulation & dendrite suppression https://doi.org/10.1021/acsami.8b08585 https://doi.org/10.1016/j.nanoen.2017.02.04 3 Anode Coating https://doi.org/10.1002/er.5371 Separator Protective Coating https://doi.org/10.1007/s11051-014-2745-z Cathode Protection • Protective coatings on cathodes • Anode coatings • Dendrite growth suppression • Protective coatings on separator films
  • 10. 7/5/2022 ALD coating of particles • Lot of the ALD work on LIB has been done on particles, especially on cathode active materials • Two alternative ways to coat CAM particles by ALD a) Coat loose particles and then place them on the foil b) Place particles on the foil and then coat them Coating as loose particles - Fluidized bed process Coating as particles on foil – R2R process
  • 11. 7/5/2022 Cathodes – R2R ALD or Particle ALD R2R advantages: • You get the coating where you want it – on external surfaces, but not between the particles • Does not impact the previous casting step Coating of Electrodes Coating of Active material as particles Process type R2R ALD Fluidized bed ALD Contact between particles Good Poor ALD process temperature Limited by binder Wider range Process integration Easier - no impact to previous casting step Will impact casting step R2R ALD works also on non-particle –based 3D structures, such as nanotubes, etched pillars etc.
  • 13. 7/5/2022 Offering: R2R Genesis ALD System • Web Material: Copper, Aluminum, Stainless steel, polymer, paper and other substrates suitable for vacuum coating • Web width, max.: 420 mm • Machine Speed: • Production Minimum 0.1 m/min • Production Maximum 10 m/min • ALD Materials: Al2O3, TiO2, ZnO, ZnS, SiO2 and more • Process temp., max: 250°C Optional designs for wider webs and line integration available Genesis ALD in collaboration with R2R Production
  • 14. 7/5/2022 ALD systems used in development services trials • TFS 200 and SCS-1000 systems are currently used for ALD development services for coating battery samples
  • 15. 7/5/2022 ALD Al2O3 coating on NCM811 cathode foils As received samples Long cycling conditions: 0.5C, 3.0 – 4.4 V ALD Coating shows increase in specific capacity and significant increases in cycling stability
  • 16. 7/5/2022 Summary • ALD is an enabling technology for future batteries • ALD technology introduction has been hindered by lack of production scale equipment • Most applications need films in the range of 1-20 nm  well suited for Roll-to-Roll ALD • Beneq R2R ALD technology offers a straightforward scale-up path to mass-production • Protective coatings on anode, cathode and separator • Coating on particles in R2R mode • Suitable for 3D structures • R&D / Pilot scale equipment exist already, larger production systems available as custom design
  • 17. Beneq® is a leading supplier of production and research equipment for atomic layer deposition (ALD), a provider of thin film coating services, and the world’s premier manufacturer of thin film electroluminescent (TFEL and TASEL) displays. www.beneq.com | www.lumineq.com Tel. +358 9 7599 530 info@beneq.com | lumineq@beneq.com firstname.lastname@beneq.com Thank You!
  • 19. 7/5/2022 BENEQ ALD systems Research & Development Equipment Beneq R2 Beneq TFS200 Beneq TFS500 CONFIDENTIAL
  • 20. 7/5/2022 BENEQ ALD systems 3D & Batch Beneq P400A Beneq P800 Beneq P1500 CONFIDENTIAL