SlideShare a Scribd company logo
1 of 3
Somesh Mishra
Tessolve Semiconductors
Bhubaneswar Email: somesh.mishra@tessolve.com
Odisha , India – 751013 Mobile: +91-9090965929
_______________________________________________________________________
VLSI Domain Skills
HDL: Verilog
HVL: SystemVerilog
Verification Methodologies: Coverage Driven Verification
Assertion Based Verification - SVA
TB Methodology: UVM
EDA Tool: VCS, Verdi, DVT, Questasim, Modelsim, NCSim and ISE
Domain: ASIC/FPGA Front-end Design and Verification
Knowledge: RTL Coding, Simulation, Code Coverage, Functional Coverage,
Synthesis, SVA
Scripting language: Perl
Experience
Tessolve Semiconductors (Acquired Aims Technology Inc.) Jan 2016 – Current
Maven Silicon VLSI Design and Verification Center, Bangalore June to August 2015
QSocs Technologies, August to Dec 2015
[1]Pcie2Axi and Axi2Pcie traffic: (Client Broadcom )
Description
The axi transactions were converted to pcie transaction with internal addressing logics and
inbound/outbound memory logics. Was given the task of generating axi to pcie traffic and
vice versa and writing checker for few scenarios.
Responsibilities:
 In Axi2pcie path wrote testcase for Axi transfers and checker for pcie ,writing
checker for wrap burst, writing checker for unaligned aligned addressing w.r.t pcie
 Develop testcase to generate axi burst transfer, aligned and unaligned addressing.
 Develop testcase for Wrap data transfer and writing checker for the unaligned
addressing and wrap addressing. Writing Checker for pcie TL packets. Checked fbe,
lbe, payload, address, th, tc etc..
 Ran regression for axi to pcie path and done debugging.
 Implementing checker for fbe, lbe, byte count etc..
 Implemented constraint for address mapping of pcie to axi
 Check Pcie2Axi path by sending vendor messages from Pcie to SES (SCSI enclosure
service, a SAS protocol)
 Develop pcie testcase for sending plx , non-plx vendor message
 Implementing checker and monitor for monitoring interrupt and checking messages by
using callback.
[2] PCIe Gen 4 :
Description: The avery VIP of PCIe Gen 4 was given. Task assigned to understand the
transaction layer protocol and run the related test cases.
Responsibilities:
 Went through the transaction layer protocols, packet formation, Buffer and ordering
flow control mechanism, error checking mechanism and ran the test cases respectively.
 Analyze the above testcases, debug and observe on waveform.
[3] Verification of AHB to APB Bridge using UVM
HDL: Verilog
HVL: SystemVerilog
TB Methodology: UVM
EDA Tools: Questasim and ISE
Description: The AHB to APB bridge is an AHB master and APB slave, providing an
interface between the high-speed AHB and the low-power APB. Read and write transfers on
the AHB are converted into equivalent transfers on the APB.
Responsibilities:
 Architected the class based verification environment using UVM
 Verified the RTL model using UVM testbench
 Generated functional and code coverage for the RTL verification
[4] Verification of Dual Port RAM using System Verilog
HDL: Verilog
EDA Tools: Questasim
TB Methodology: Constrained Randomized TB
Description:
 RTL design included integration of 4 dual port memory and 2 decoder for read and
write address.
 Design was verified using the System Verilog with functional and code
coverage.
 Formulating design from requirements, RTL coding, integration and debug of various
blocks, built SV TB components, integration with design
Responsibilities:
 Architected the design
 Checked the code coverage using Questasim
 Implemented code for functional Coverage
 Verified the RTL model using SystemVerilog.
 Generated functional and code coverage for the RTL verification
 Checked the code using assertion based verification
[5] Router 1x3 – RTL design and Verification
HDL: Verilog
HVL: SystemVerilog
TB Methodology: UVM
EDA Tools: Questasim and ISE
Description: The router accepts data packets on a single 8-bit port and routes them to one of
the three output channels, channel0, channel1 and channel2.
Responsibilities:
 Architected the design
 Implemented RTL using Verilog HDL.
 Architected the class based verification environment using UVM TB.
 Verified the RTL model using UVM TB.
 Generated functional and code coverage for the RTL verification
 Synthesized the design
Certifications:
Maven Silicon Certified Advanced VLSI Verification course
from Maven Silicon VLSI Design and Training Center, Bangalore June to August 2015
Orange Semiconductors, Bangalore: Undergone internship during Dec 15 -10 Jan 2014
GRID INDIA IT INNOVATIONS: Internship from 25th
May to July 25th
2014, Bangalore
Professional Qualification
Completed five year integrated B.Tech (ECE) + M.tech in VLSI from School of ICT,
Gautam Buddha University ,Greater Noida with 7.9 CGPA till last semester.
Personal Information:
Father’s Name: Satyanarayan Mishra
Date of Birth: 08-11- 1993
Place of Birth: Pondicherry
Gender: Male
Hobbies: Music, reading novels, tech surf etc..
Languages Known: English, Hindi, Oriya, Tamil

More Related Content

What's hot

Vivek_resume
Vivek_resumeVivek_resume
Vivek_resumeVivek M
 
Resume for System Integration Design, Testing, QA Verification and Validation...
Resume for System Integration Design, Testing, QA Verification and Validation...Resume for System Integration Design, Testing, QA Verification and Validation...
Resume for System Integration Design, Testing, QA Verification and Validation...Pradeep Parmar
 
Cv of manjunath kudari
Cv of manjunath kudariCv of manjunath kudari
Cv of manjunath kudariJagadeesh Dh
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_ResumePrathik R
 
Microsoft .NET Platform
Microsoft .NET PlatformMicrosoft .NET Platform
Microsoft .NET PlatformPeter R. Egli
 
Common language runtime clr
Common language runtime clrCommon language runtime clr
Common language runtime clrSanSan149
 
Unit Tests are Overrated (NDCOslo 2013)
Unit Tests are Overrated (NDCOslo 2013)Unit Tests are Overrated (NDCOslo 2013)
Unit Tests are Overrated (NDCOslo 2013)Lars-Erik Kindblad
 
Using PSL and FoCs for Functional Coverage Verification
Using PSL and FoCs for Functional Coverage Verification Using PSL and FoCs for Functional Coverage Verification
Using PSL and FoCs for Functional Coverage Verification DVClub
 
Machine Assisted Verification Tools for Cryptography
Machine Assisted Verification Tools for CryptographyMachine Assisted Verification Tools for Cryptography
Machine Assisted Verification Tools for CryptographyPaulo Silva
 
.Net overview|Introduction Of .net
.Net overview|Introduction Of .net.Net overview|Introduction Of .net
.Net overview|Introduction Of .netpinky singh
 

What's hot (17)

Vivek_resume
Vivek_resumeVivek_resume
Vivek_resume
 
resume
resumeresume
resume
 
Resume for System Integration Design, Testing, QA Verification and Validation...
Resume for System Integration Design, Testing, QA Verification and Validation...Resume for System Integration Design, Testing, QA Verification and Validation...
Resume for System Integration Design, Testing, QA Verification and Validation...
 
Cv of manjunath kudari
Cv of manjunath kudariCv of manjunath kudari
Cv of manjunath kudari
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_Resume
 
Microsoft .NET Platform
Microsoft .NET PlatformMicrosoft .NET Platform
Microsoft .NET Platform
 
Rajesh Kumar
Rajesh KumarRajesh Kumar
Rajesh Kumar
 
Common language runtime clr
Common language runtime clrCommon language runtime clr
Common language runtime clr
 
Masilamani_VLSI_Front_end_verification
Masilamani_VLSI_Front_end_verificationMasilamani_VLSI_Front_end_verification
Masilamani_VLSI_Front_end_verification
 
Unit Tests are Overrated (NDCOslo 2013)
Unit Tests are Overrated (NDCOslo 2013)Unit Tests are Overrated (NDCOslo 2013)
Unit Tests are Overrated (NDCOslo 2013)
 
Using PSL and FoCs for Functional Coverage Verification
Using PSL and FoCs for Functional Coverage Verification Using PSL and FoCs for Functional Coverage Verification
Using PSL and FoCs for Functional Coverage Verification
 
Machine Assisted Verification Tools for Cryptography
Machine Assisted Verification Tools for CryptographyMachine Assisted Verification Tools for Cryptography
Machine Assisted Verification Tools for Cryptography
 
ctchou-resume
ctchou-resumectchou-resume
ctchou-resume
 
Arch java
Arch javaArch java
Arch java
 
balaraju
balarajubalaraju
balaraju
 
.Net overview|Introduction Of .net
.Net overview|Introduction Of .net.Net overview|Introduction Of .net
.Net overview|Introduction Of .net
 
resume
resumeresume
resume
 

Similar to Somesh_Tessolve_updated _resume

Syed Maqsood Ali
Syed Maqsood AliSyed Maqsood Ali
Syed Maqsood AliSyed Ali
 
YOGAKANNAN_5.7years_Aricent_Networks_Testing
YOGAKANNAN_5.7years_Aricent_Networks_TestingYOGAKANNAN_5.7years_Aricent_Networks_Testing
YOGAKANNAN_5.7years_Aricent_Networks_TestingYoga Kannan
 
Resume_Ramya_Purohit_
Resume_Ramya_Purohit_Resume_Ramya_Purohit_
Resume_Ramya_Purohit_Ramya Purohit
 
Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik Parmar
 
Vadiraj Raikar_Cloud Automation_DevOps
Vadiraj Raikar_Cloud Automation_DevOpsVadiraj Raikar_Cloud Automation_DevOps
Vadiraj Raikar_Cloud Automation_DevOpsVadiraj Raikar
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth ResumeRavi Kanth
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
WSO2Con 2015 USA: Introducing Microservices Server
WSO2Con 2015 USA: Introducing Microservices ServerWSO2Con 2015 USA: Introducing Microservices Server
WSO2Con 2015 USA: Introducing Microservices ServerWSO2
 

Similar to Somesh_Tessolve_updated _resume (20)

pravesh_kumar
pravesh_kumarpravesh_kumar
pravesh_kumar
 
Apoorva Tripathi
Apoorva Tripathi Apoorva Tripathi
Apoorva Tripathi
 
Syed Maqsood Ali
Syed Maqsood AliSyed Maqsood Ali
Syed Maqsood Ali
 
Hemanth_Krishnan_resume
Hemanth_Krishnan_resumeHemanth_Krishnan_resume
Hemanth_Krishnan_resume
 
Resume16AugV
Resume16AugVResume16AugV
Resume16AugV
 
krishna@GRAPH
krishna@GRAPHkrishna@GRAPH
krishna@GRAPH
 
YOGAKANNAN_5.7years_Aricent_Networks_Testing
YOGAKANNAN_5.7years_Aricent_Networks_TestingYOGAKANNAN_5.7years_Aricent_Networks_Testing
YOGAKANNAN_5.7years_Aricent_Networks_Testing
 
Resume_Ramya_Purohit_
Resume_Ramya_Purohit_Resume_Ramya_Purohit_
Resume_Ramya_Purohit_
 
Mallikarjun_Resume
Mallikarjun_ResumeMallikarjun_Resume
Mallikarjun_Resume
 
Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Vadiraj Raikar_Cloud Automation_DevOps
Vadiraj Raikar_Cloud Automation_DevOpsVadiraj Raikar_Cloud Automation_DevOps
Vadiraj Raikar_Cloud Automation_DevOps
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth Resume
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
WSO2Con 2015 USA: Introducing Microservices Server
WSO2Con 2015 USA: Introducing Microservices ServerWSO2Con 2015 USA: Introducing Microservices Server
WSO2Con 2015 USA: Introducing Microservices Server
 
Resume
ResumeResume
Resume
 
Sudhir_Kr_Resume
Sudhir_Kr_ResumeSudhir_Kr_Resume
Sudhir_Kr_Resume
 

Somesh_Tessolve_updated _resume

  • 1. Somesh Mishra Tessolve Semiconductors Bhubaneswar Email: somesh.mishra@tessolve.com Odisha , India – 751013 Mobile: +91-9090965929 _______________________________________________________________________ VLSI Domain Skills HDL: Verilog HVL: SystemVerilog Verification Methodologies: Coverage Driven Verification Assertion Based Verification - SVA TB Methodology: UVM EDA Tool: VCS, Verdi, DVT, Questasim, Modelsim, NCSim and ISE Domain: ASIC/FPGA Front-end Design and Verification Knowledge: RTL Coding, Simulation, Code Coverage, Functional Coverage, Synthesis, SVA Scripting language: Perl Experience Tessolve Semiconductors (Acquired Aims Technology Inc.) Jan 2016 – Current Maven Silicon VLSI Design and Verification Center, Bangalore June to August 2015 QSocs Technologies, August to Dec 2015 [1]Pcie2Axi and Axi2Pcie traffic: (Client Broadcom ) Description The axi transactions were converted to pcie transaction with internal addressing logics and inbound/outbound memory logics. Was given the task of generating axi to pcie traffic and vice versa and writing checker for few scenarios. Responsibilities:  In Axi2pcie path wrote testcase for Axi transfers and checker for pcie ,writing checker for wrap burst, writing checker for unaligned aligned addressing w.r.t pcie  Develop testcase to generate axi burst transfer, aligned and unaligned addressing.  Develop testcase for Wrap data transfer and writing checker for the unaligned addressing and wrap addressing. Writing Checker for pcie TL packets. Checked fbe, lbe, payload, address, th, tc etc..  Ran regression for axi to pcie path and done debugging.  Implementing checker for fbe, lbe, byte count etc..  Implemented constraint for address mapping of pcie to axi  Check Pcie2Axi path by sending vendor messages from Pcie to SES (SCSI enclosure service, a SAS protocol)  Develop pcie testcase for sending plx , non-plx vendor message  Implementing checker and monitor for monitoring interrupt and checking messages by using callback.
  • 2. [2] PCIe Gen 4 : Description: The avery VIP of PCIe Gen 4 was given. Task assigned to understand the transaction layer protocol and run the related test cases. Responsibilities:  Went through the transaction layer protocols, packet formation, Buffer and ordering flow control mechanism, error checking mechanism and ran the test cases respectively.  Analyze the above testcases, debug and observe on waveform. [3] Verification of AHB to APB Bridge using UVM HDL: Verilog HVL: SystemVerilog TB Methodology: UVM EDA Tools: Questasim and ISE Description: The AHB to APB bridge is an AHB master and APB slave, providing an interface between the high-speed AHB and the low-power APB. Read and write transfers on the AHB are converted into equivalent transfers on the APB. Responsibilities:  Architected the class based verification environment using UVM  Verified the RTL model using UVM testbench  Generated functional and code coverage for the RTL verification [4] Verification of Dual Port RAM using System Verilog HDL: Verilog EDA Tools: Questasim TB Methodology: Constrained Randomized TB Description:  RTL design included integration of 4 dual port memory and 2 decoder for read and write address.  Design was verified using the System Verilog with functional and code coverage.  Formulating design from requirements, RTL coding, integration and debug of various blocks, built SV TB components, integration with design Responsibilities:  Architected the design  Checked the code coverage using Questasim  Implemented code for functional Coverage  Verified the RTL model using SystemVerilog.
  • 3.  Generated functional and code coverage for the RTL verification  Checked the code using assertion based verification [5] Router 1x3 – RTL design and Verification HDL: Verilog HVL: SystemVerilog TB Methodology: UVM EDA Tools: Questasim and ISE Description: The router accepts data packets on a single 8-bit port and routes them to one of the three output channels, channel0, channel1 and channel2. Responsibilities:  Architected the design  Implemented RTL using Verilog HDL.  Architected the class based verification environment using UVM TB.  Verified the RTL model using UVM TB.  Generated functional and code coverage for the RTL verification  Synthesized the design Certifications: Maven Silicon Certified Advanced VLSI Verification course from Maven Silicon VLSI Design and Training Center, Bangalore June to August 2015 Orange Semiconductors, Bangalore: Undergone internship during Dec 15 -10 Jan 2014 GRID INDIA IT INNOVATIONS: Internship from 25th May to July 25th 2014, Bangalore Professional Qualification Completed five year integrated B.Tech (ECE) + M.tech in VLSI from School of ICT, Gautam Buddha University ,Greater Noida with 7.9 CGPA till last semester. Personal Information: Father’s Name: Satyanarayan Mishra Date of Birth: 08-11- 1993 Place of Birth: Pondicherry Gender: Male Hobbies: Music, reading novels, tech surf etc.. Languages Known: English, Hindi, Oriya, Tamil