SlideShare a Scribd company logo
1 of 77
Download to read offline
Item Description
1 001‐0084‐01 REV B SN 1123 AND 4279
2 0101‐1001 /101‐1001 / 1000‐1001 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐001
3 0101‐1210 ? 0101‐1013 For Matrix 10 Plasma Asher AWD‐D‐3‐4‐2‐001
4 0150‐0026‐0300 Pump Assy TE‐5C‐MD 1Ph Marchpump Baldor Reliance Industry Motor
5 01‐5533 Rev 2 chamber Plate
6 023‐0103 101‐0448 ? AC Power Discussion For Matrix Asher Etcher AWD‐D‐2‐11‐007
7 023‐0103 AC Power Discussion For Matrix Asher Etcher Systems AWD‐D‐2‐11‐005
8 023‐0103 AC Power Discussion For Matrix Asher Etcher Systems AWD‐D‐2‐11‐006
9 023‐0103? 101‐0448 AC Power Dis For Matrix Asher Etcher Systems AWD‐D‐2‐11‐018
10 03385 KRPA14AG‐12V ASSEMBLED In Mexico Potter & Brumfield Control Components
11 053‐0020 Chamber ,Branson/IPC L3200 Gasonics
12 054‐0009‐01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher
13 055‐0032‐01: Pump Adapter Seal ,for Branson IPC Plasma asher
14 0641‐0253‐01 With 7310‐1058‐01 For AG Associates Heatpulse ID‐AWS‐016
15 0641‐0254‐01 With 7310‐1059‐01 For AG Associates Heatpulse ID‐AWS‐016
16 0641‐0386‐01 For AG Asscoiates Heatpulse
17 0641‐0386‐01 retro kit, clg sta sen, 0500‐0220‐01
18 0641‐0796‐02 &7310‐4730‐01 A , 0710‐0425‐03 For AG Associates Heatpulse
19 0641‐0796‐02 KIT,FLOWER / FLOWSW RETRP
20 0641‐0826‐01 (7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ) Pyrometer Parts AG
21 0641‐0829‐01) 7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ?) Pyrometer. DCP Rework
22 0641‐0830‐01(7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ?) Pyrometer Parts AG
23 0641‐0941‐01 With 7100‐5992‐01 Etc For AG Associates Heatpulse ID‐AWS‐016
24 06764‐001, A90‐005‐06 C , A90‐014‐01 B ,A90‐030‐01 C PCBs AWD‐D‐2‐8‐3‐001
25 06764‐001, A90‐2608 A, A90‐2607 B ,A90‐2609 A PCBs AWD‐D‐3‐1‐1‐001
26 08244017 ? 21036018 244287‐001 E / 244288‐001 M Ester Interface AWW‐10‐2‐4‐014
27 09.2‐0475 Bellow For Thin Film Deposition Equipment
28 0992‐40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma
29 0992‐60477 Rev B Robot Arm Effector Matrix
30 0992‐70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206
31 0995‐10512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206
32 09A208 Transistdc 2N4233A
33 10 Inch Shield Panel  ID‐AWS‐011
34 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐003
35 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐016
36 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐017
37 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐012
38 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐013
39 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐014
40 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐015
41 101‐0178 AC Controller Assy For Matrix Asher Etcher Systems AWD‐D‐2‐11‐001
42 101‐0178 AC Controller Assy For Matrix Asher Etcher Systems AWD‐D‐2‐11‐011
43 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐008
44 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐009
45 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐010
46 101‐0461 ? System Main Controller For Matrix Asher Etcher Systems AWD‐D‐2‐11‐004
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 1 sales@semistarcorp.com
47 101‐0461 System Main Controller For Matrix Asher Etcher Systems AWD‐D‐2‐11‐002
48 101‐0500‐VM 5V Valve
49 101‐0999 /0101‐0999 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐002
50 101‐1000 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐003
51 101‐1000 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐004
52 1116‐40601 Tegal 1513e Assembly Lower Electrode Spare Part
53 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP
54 12 Inch  Si Wafer With 4 Inch Pocket
55 12 Inch  Si Wafer With 8 Inch Pocket
56 12 Inch  Si Wafer With 8 Inch Pocket
57 12 Inch Cassette Box
58 12 Inch Wafer With 1 Of  6 Inch Pocket
59 12 Inch Wafer With 13 Of 1.25x1.25 Inch Pockets
60 12 Inch Wafer With 2.25x3.25 Inch Pocket
61 12 Inch Wafer With 3 Of 2 Inch Pocket
62 12 Inch Wafer With 3 Of 4 Inch Pocket
63 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25x1.25 Inch Pocket
64 12 Inch Wafer With 5 Of 2 Inch Pocket
65 12 Inch Wafer With 7 Of Holes
66 12 Inch Wafer With 9 Of 2 Inch Pocket
67 125ml 4oz HDPE
68 126‐310‐010 Multipin Electrical Feedthrough Perkin‐Elmer
69 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MA‐CB3622 AWD‐D‐1–1‐4‐001
70 13794‐01 Branson IPC VIDEO, PWB 13793‐01
71 13842‐01 APPLIED MICRO TECHNOLOGY
72 13952‐01 A For  Branson/IPC  Asher (?) ID‐AWS‐k‐5‐1‐006
73 14679‐01 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES
74 14908‐001 , MITCHELL ELECTRONICS, 00200‐AD01 , 00200‐0001
75 14inch 4430 RF Diode Backing Plate w SiO2 target
76 14inch Moly Pallet no pocket
77 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target
78 14inch Perkin‐Elmer 4430 Moly Pallet 12x3inch pockets
79 15‐00729‐00 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus
80 156x156mm Cassette
81 15988‐01 A Branson IPC Temperature Input
82 1666G2Y Micro HOKE. MITE Regulator Valves‐5
83 16x2 Inch  Susceptor, SiC , Base And Cover
84 17‐041114‐00 A RA8000 2796  For Matrix 10 Plasma Asher AWD‐D‐3‐4‐2‐002
85 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐001
86 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐006
87 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐007
88 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐008
89 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐003
90 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐004
91 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐005
92 17317‐01D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐002
93 17351‐01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐003
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 2 sales@semistarcorp.com
94 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐001
95 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐002
96 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐003
97 17461‐01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐002
98 17542‐01 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐001
99 1E‐011 Tegal Spare Part, Bellow
100 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi?
101 2 Inch Wafer, Thin, 50‐100um? Material Si? InP?
102 2000‐0013‐01 AG Associates Heatpulse PCB
103 2000‐0013‐03 AG Associates Heatpulse PCB
104 2100‐0006‐01 AG Associates Heatpulse PCB Rev A.5
105 2100‐0006‐02 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850
106 2100‐0007‐1000‐002 AW‐Etch‐1000‐002 PCB AWM‐E‐5‐2‐008
107 2100‐0008‐1000‐016 pcb
108 2100‐0022‐01 AG Associates Heatpulse PCB
109 2100‐0031‐01 AG Associates Heatpulse PCB
110 2100‐0032‐01 AG Associates Heatpulse PCB
111 2100‐0060 AG Associates Heatpulse PCB 2100‐0060‐02 ZiaTech ZT8812
112 2100‐0110 AG Associates Heatpulse PCB
113 2100‐0120 MIO‐24 AG Associates Heatpulse PCB
114 2100‐0150 AG Associates Heatpulse PCB
115 2100‐0160 AG Associates Heatpulse PCB
116 2100‐0170‐01 AG Associates Heatpulse PCB
117 2100‐0190 ROCK‐CADAC 3V‐0 000226‐F
118 2100‐0212 ‐01 AG Associates Heatpulse PCB
119 2100‐0241‐01 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 2100‐0241‐009
120 2100‐0243‐01 AG Associates Heatpulse PCB DASB‐FGA 14139 REV B PC7462
121 2100‐0250 AG Associates Heatpulse PCB
122 2100‐0251‐01 AG Associates Heatpulse PCB
123 2100‐0253‐01 AG Associates Heatpulse PCB
124 2100‐0253‐02 AG Associates Heatpulse PCB
125 2100‐0307‐04 AG Associates Heatpulse PCB
126 2105‐220251‐12(214) CAP Quartz ROHM Device
127 2105‐220252‐12(214) ? Pedestal Base, Quartz Base ROHM Device
128 2105‐220252‐12(214) Pedestal Base, Quartz Base ROHM Device
129 2105‐220252‐12(214) Pedestal Base, Quartz Base ROHM Device
130 2105‐220254‐12(214) Cap Temp Check  ROHM Device
131 2105‐320027‐12 Rev 0 Rev 12‐214Quartz Shutter ROHM Device
132 2105‐420025‐12 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation
133 2105‐420045‐12 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation
134 2105‐420054‐12 Rev NA Quartzware Quartz Part Rohm Corporation
135 2105‐420128‐12 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation
136 2105‐420294‐11 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation
137 21‐2402 SL5410SM 2PW FV4 FV4 APTech Regulated Valves
138 2‐440‐GS40 O Ring G‐B33SOL 0384164
139 2502‐0040 For AG Associates Heatpulse
140 2506491‐21 / 2506‐491‐21 / 2506‐491‐01rev A PCB Interconnection Gas Mat810‐03421
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 3 sales@semistarcorp.com
141 2601‐0003‐01 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000
142 26‐12000‐05 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves
143 281‐7310 Perkin Elmer Ultek LN2 Feedthrough
144 2900‐4015‐01 CONTROLLER, MULTI‐L
145 2908‐0041‐01 Meter, 1/2?, BRASS,0.5‐4GPM,H2O
146 2908‐0060‐01 Meter H2O Flow 3‐6 GPM KOBOLD SMW‐7117‐B For AG Asscoiates Heat
147 2oz Clear Glass Black Plastic Lids 12 Pack X000WUM5UH
148 2oz Clear Glass White Plastic Lids
149 2S700‐601‐(2S003‐017) Japan With STK6722H AWM‐B‐4‐5‐008
150 3 Inch InP Wafer
151 3 Inch Susceptor Wafer Carrier  MPT RTP‐600s RTP‐800s AG Associates AG 610
152 3 Inch Susceptor Wafer Carrier For MPT RTP‐600s RTP‐800s AG Associates AG 610
153 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company
154 302‐031‐03 23017‐6 PCB
155 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009?
156 33‐295‐001 A Tegal Spare Part
157 3700‐0110‐01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
158 39‐535‐003 Tegal Spare Part
159 39‐562‐001 Tegal Spare Part
160 39‐793‐001 Tegal Top Electrode Raines Technologies
161 4 Inch Metal Cassette
162 4 Inch Metal Cassette
163 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process
164 4 Inch Si Wafer Carrier With 2 Inch Pocket
165 4 Inch Si Wafer With 3 Inch Pocket With Flat
166 4 Inch Teflon Cassette PFA EMPAK X7100‐01 PFA Wafer Cassette
167 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39M PFA Wafer Cassette
168 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39MLBH  LZ Wafer Cassette
169 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39MLBY PFA Wafer Cassette
170 4 Inch Wafer Handle
171 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP‐600s RTP‐800s AG Associates AG 610
172 400 Series AIRCO Regulated Valves With Marsh Meters Gauges
173 4000‐1044‐06 Lamp PWR CNTL
174 4000‐1044‐07 Lamp Driver 208VAC
175 4000‐1044‐07 Lamp Driver 400VAC
176 4004‐0004‐01 Model WI40‐371‐00/xx,xp Converter Concepts Inc
177 4004‐0020 Condor MPS‐140W Power Supply AG Associates Heatpulse RTP
178 4004‐0050 AG Associates  Power One Model HN12‐5.1‐A Power Supply
179 4004‐0060 (AG Associates )Power One HDD15‐5‐A Power Supply 71269‐04 Gasonics ?
180 4‐01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
181 40‐215‐001 Tegal Spare Part
182 40‐329‐002  Tegal Spare Part
183 403‐E1IS SCR AG Associates Heatpulse Chips
184 4050‐1016‐01  AG Associates Heatpulse TSCRN, CUSTOM
185 4050‐1023‐01  AG Associates Heatpulse TSCRN MON, STAND ALONE
186 4100‐0017‐11 Pura‐LITE Barnsread A110B For AG Asscoiates Heatpulse
187 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐3‐002
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 4 sales@semistarcorp.com
188 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐4‐001
189 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐7‐4‐001
190 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐3‐001
191 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges
192 42A13DCH2AA025 MKS Baratron Pressure Switch  1000 Torr‐G
193 4501‐0006‐01 LC1‐FF43‐JV‐24/60 AQO 105 AMP 3 Pole Contactor ID‐AWM‐D‐5‐001
194 4502‐9041‐01 VALVE, DIAPH, QTR TURN
195 4502‐9042‐01 VALVE, DIAPH
196 4502‐9043‐01 Aptach Valve
197 4855 Digital Beam Control Interface Fisons Kevex Instrumentals ID‐AWM‐D‐1‐007
198 48600136 4 88,42700383 4 88,42800891,48600133,427‐00384 VERIFLO
199 48V Control Board Assy 06763‐005 Rev3 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐010
200 4Z(A)‐PR4‐VT‐SS Parker Valve
201 5 Cassette Entegris X9125‐0407 Wafer Cassette
202 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MB Wafer Cassette
203 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MBH PFA Wafer Cassette
204 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MU Wafer Cassette
205 500‐B4 AM27C512‐205 DC 039UADC AMD AG Associates Heatpulse Chips
206 50590‐01 ISS 1 SN 18026 TEGAL PCB
207 5102‐0023‐01 Metron Technology AG Associates Heatpulse
208 5108‐1059‐01 flow switch, 1450 psi
209 538491‐120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM
210 5990‐0147‐0001 A 7188‐0194‐0001 Spacer PCB AWM‐B‐4‐4‐005
211 5990‐0147‐0001 A PCB AWM‐B‐4‐4‐006
212 5B16I2L5 AG Associates Heatpulse Chips
213 6 Inch Cassette And Box (1set)
214 6 Inch Si Wafer Carrier With 2 Inch Pocket
215 6 Inch Si Wafer Carrier With 4 Inch Pocket
216 6 Inch Teflon Cassette PFA EMPAK 3150‐14  PFA Wafer Cassette
217 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MB PFA Wafer Cassette
218 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MBH PFA Wafer Cassette
219 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MU PFA Wafer Cassette
220 6.5 Inch Disk , Graphite With SiC Coating
221 6.75 Inch Disc, Cover, Silica With SiC Coated
222 60 Mm Chart ES‐001 Made In Japan  5 Boxes In A Package ID‐AWS‐L‐1‐2‐001
223 600‐AKV‐L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
224 6149‐2293‐624 No 2293624 UM 45‐D15SUB/S/ZFKDS Ch. No 3005804625 ID‐DW‐6‐4‐2‐004
225 6149‐2293‐637 No 2293637 UM 45‐D25SUB/S/ZFKDS Ch. No 3005815597  ID‐DW‐6‐4‐2‐005
226 6338‐2884‐0 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment
227 6338‐2886‐0 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment
228 64‐2560TRN20 30 PSIG Max Tescom Regulated Valve Tescom
229 680‐0146‐021 Perkin Elmer LH TM11‐E1501/230CV Power Supply ID‐AWM‐D‐2‐5‐004
230 680‐0146‐021 Perkin‐Elmer Custom Rectifier LH Research Model TM11‐E1501/230CV
231 6L‐M1V2858‐II NUPRO Valve
232 6LV‐DLBW4 NUPRO Regulator Valve Gas Line SST Tube
233 7100‐00874‐11 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
234 7100‐0474‐01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 5 sales@semistarcorp.com
235 7100‐0606‐01 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates
236 7100‐0874‐03 Rev H AG Associates Heatpulse RTP ERP Pyrometer
237 7100‐0874‐05 Associates Heatpulse RTP ERP Pyrometer
238 7100‐0874‐09 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
239 7100‐1091‐01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
240 7100‐1134‐03 Pyrometer AG Associates Heatpulse (7100‐1282‐03 7100‐5628‐01)
241 7100‐1134‐06 Pyrometer AG Associates Heatpulse 8108, 8800, 4108, 4100, 4100S
242 7100‐1182‐07 AG Associates Heatpulse 8108 ERP Pyrometer
243 7100‐1213‐04 DCP Pyrometer 7100‐1134‐059 Pyrometer AG Associates Heatpulse
244 7100‐1282‐03(7100‐5622‐02?)Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
245 7100‐1497‐02 Robot Effector Robot ARM AG Associates Heatpulse + 7200‐0982‐03
246 7100‐1885‐01 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
247 7100‐1970‐02 (7200‐1010‐02+7300‐0173‐03+7310‐0568‐01) Robot Effector
248 7100‐2282‐06 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800
249 7100‐2751‐01 Shell A1VANIA Grease 14 oZS Type L AG Associates AWR‐BB‐7‐002
250 7100‐3597‐01 fork assy, dtc,ncluding: 7310‐3049‐02‐C (Plate), 7310‐3050‐01‐A
251 7100‐4015‐01 With B‐47 273903‐1 For AG Asscoiates Heatpulse
252 7100‐4016‐01 With 7310‐2914‐01 A / B‐47 273903‐1 For AG Asscoiates Heatpulse
253 7100‐4016‐02 With 7310‐2913‐01 A / B‐47 273903‐1 For AG Asscoiates Heatpulse
254 7100‐4078‐03 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
255 7100‐4304‐01 BBU Battery Back‐up Unit AG Associates 7100‐4278‐01 7100‐4307‐01
256 7100‐5177‐01 AG Associates Heatpulse Chips
257 7100‐5622‐02 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer
258 7100‐5622‐02 Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
259 7100‐5622‐02 Pyrometer AG Associates Heatpulse 8108, 8800,4108, 4100, 8008,4100S
260 7100‐5628‐01 For 7100‐5622‐02 / 7100‐1282‐03 Pyrometer AG Associates Heatpulse
261 7100‐5633‐02 AG Associates Heatpulse PCB Zero Crossing Board 3‐PH 60Hz AG RTP
262 7100‐5705‐04 Lamp PWR CNTL
263 7100‐5746‐01 RING, SLIP‐FREE,  5IN  7450‐0032‐05
264 7100‐5747‐01B RING, SLIP‐FREE 6 Inch
265 7100‐5750‐01 assy s‐f/pkg 6in w/o flat, SLIP FREE RING (7450‐0059‐06J),A VERSION
266 7100‐5759‐01 ASM S‐F/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING
267 7100‐5762‐02 assy s‐f/pkg notch 6 in. 7310‐5737‐02
268 7100‐5999‐01 RING, SLIP‐FREE, 8IN, B Version
269 7100‐6159‐05 Lamp Driver
270 7100‐7748‐02 PENTIUM COMPUTER  AG Associates Heatpulse
271 7100‐7911‐02 Front Flange AG Associates Heatpulse 8108 Heatpulse 8800, 4108 8008
272 71268‐02 Gasonics Power One Model HB5‐3/OVP‐A (HB530VPA)
273 7137 Rev E4, SST‐8900 FCC ID HNG890CL‐BBD1TIA1 AWW‐10‐2‐6‐011
274 715‐28552‐001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715‐28552‐001‐1 )
275 7200‐0067 SR5014590 ,For AG Associates Heatpulse
276 7200‐0189 A For AG Asscoiates Heatpulse
277 7200‐0213‐B for AG Associates Heatpulse RTP
278 7200‐0310‐01 A For AG Asscoiates Heatpulse
279 7200‐0365‐01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
280 7200‐0382‐01 For AG Asscoiates Heatpulse
281 7200‐0383‐01A For AG Associates Heatpulse
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 6 sales@semistarcorp.com
282 7200‐0564‐02 For AG Asscoiates Heatpulse
283 7200‐0564‐02 For AG Asscoiates Heatpulse
284 7200‐0564‐02 For AG Asscoiates Heatpulse
285 7200‐0564‐02A For AG Asscoiates Heatpulse
286 7200‐0602‐03 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
287 7200‐0603‐01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
288 7200‐0604‐02 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
289 7200‐0607‐03 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
290 7200‐0649‐02 E For AG Asscoiates Heatpulse
291 7200‐0654‐01 7310‐3265‐01,PLATE, WFR CARR, 8IN POPA
292 7200‐0731‐01 A For AG Asscoiates Heatpulse
293 7200‐0746‐02‐P3 For AG Associates Heatpulse
294 7200‐0810‐01 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
295 7200‐0811‐02 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
296 7200‐0849‐01 Or 7200‐0840‐01 For AG Asscoiates Heatpulse
297 7200‐0941‐01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
298 7200‐0941‐01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
299 7200‐0941‐01B Robot Effector Robot ARM AG Associates Heat‐pulse 8108 8800 4108
300 7200‐0941‐01C + 7310‐1729‐02 C + 7310‐2528‐01 A Robot Arm Effector AG Associates
301 7200‐0945‐01 + 7200‐0982‐03 D Robot Effector Robot ARM AG Associates Heatpulse
302 7200‐0945‐01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
303 7200‐0983‐01 For AG Associates Heatpulse
304 7200‐1092‐01 AG Associates Heatpulse RTP
305 7200‐1097‐01 A For AG Asscoiates Heatpulse
306 7310‐0400‐010 Bracket For AG Asscoiates Heatpulse,with Fittings
307 7310‐0487‐02 B For AG Asscoiates Heatpulse
308 7310‐0698‐01 For AG Associates Heatpulse
309 7310‐0699‐01 For AG Associates Heatpulse
310 7310‐0700‐01 A Tube N2 Inlet For AG Associates Heatpulse
311 7310‐0719‐02C / 01‐148‐033 Crysta SiC Coated, 6 Inch Single Wafer SUSC
312 7310‐1058‐01 For AG Associates Heatpulse ID‐AWS‐016
313 7310‐1059‐01 For AG Associates Heatpulse ID‐AWS‐016
314 7310‐1064‐01 B For AG Associates Heatpulse
315 7310‐1843‐01 B + 7310‐1844‐01 +7310‐1845‐01 Robot Effector 7100‐2906‐01
316 7310‐2224‐01 Rev C Rear Flange With SST Tube AG Associates Heatpulse RTP
317 7310‐2269‐02 Rear Flange AG Associates Heatpulse RTP
318 7310‐2883‐01 A For AG Associates Heatpulse
319 7310‐2886‐01 A Rear Flange No SST Tube AG Associates Heatpulse RTP
320 7310‐2886‐01 Rear Flange, DTC, No SST Tube welded
321 7310‐2887‐02 A RING, SLIP‐FREE
322 7310‐2889‐01(7310‐2829‐01?) B Robot Effector AG Associates
323 7310‐2913‐01 A For AG Asscoiates Heatpulse
324 7310‐2914‐01 A For AG Asscoiates Heatpulse
325 7310‐3026‐02  RING SLIP‐FREE 6 IN NOTCH, OL
326 7310‐3074‐01 RING, SLIP‐FREE, 6IN,A Version
327 7310‐3445‐01 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
328 7310‐3473‐01 B For AG Associates Heatpulse
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 7 sales@semistarcorp.com
329 7310‐3473‐01 B For AG Associates Heatpulse
330 7310‐3479‐02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
331 7310‐3479‐02 B Robot Effector Robot ARM AG Associates Heatpulse + 7310‐1779‐02 C
332 7310‐3479‐02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
333 7310‐3479‐02 B+ 7310‐1844‐01 +7310‐1845‐01 Robot Effector AG Associates
334 7310‐3479‐02 B+ 7310‐3480‐04D Robot Effector AG Associates
335 7310‐3526‐01 ring, slip‐free 3.0 in
336 7310‐4146‐01 tube n2/purge retro intel, A Version
337 7310‐4576‐02 TUBE,PURGE INLET,FLANGE EZ‐DTC ASSY, C Version
338 7310‐4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
339 7310‐4730‐01 A For AG Associates Heatpulse
340 7310‐4755‐01 g, SLIP 6",P2 VERSION, SLIP FREE RING
341 7310‐4755‐01 g, SLIP 6",R2 VERSION, SLIP FREE RING
342 7310‐5787‐01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
343 7310‐5787‐01 P4 + 7310‐3480‐04 D + 7310‐2528‐01 A Robot Effector AG Associates
344 7310‐5874‐01 A (7100‐5156‐02 + 7100‐5155‐01 )AG Associates Heatpulse RTP
345 7310‐5874‐01 A Gas Line AG Associates Heatpulse Rtp
346 7310‐6210‐01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310‐5786‐01)
347 7310‐6210‐01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310‐5786‐01)
348 7310‐8278‐01 TUBE,PURGE INLET,7100‐6705‐01,FLANGE EZ‐DTC ASSY
349 7400‐0082‐01 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch
350 7450‐0032‐03 RING, SLIP‐FREE,  6IN , E VERSION
351 7450‐0032‐07 RING, SLIP‐FREE,  6IN , 7100‐5835‐01A, L version
352 7450‐0033‐01 RING, SLIP‐FREE,  5IN
353 7450‐0036‐04 S‐R RINGS R/B 7100‐5751‐01, 8 INCH
354 7450‐0059‐02 RING, SLIP‐FREE W/ NO FLAT,5 INCH
355 7450‐0059‐04 RING, SLIP‐FREE W/ NO FLAT,4 INCH, H Version
356 7450‐0059‐06 RING, SLIP‐FREE W/ NO FLAT,6,INCH, J version
357 7450‐0090‐01 RING, SLIP‐FREE 6 INCH
358 7450‐0091‐01 RING, SLIP‐FREE 8 INCH
359 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm
360 7500‐0096‐01 TRAY ALIGNMENT ,4 INCH, D  Version
361 7500‐0096‐03 TRAY ALIGNMENT ,6 INCH, E  Version
362 7500‐0155‐06 TRAY ALIGNMENT ,8 INCH
363 7600‐0216‐01 AG Associates Heatpulse Chips
364 7600‐0255‐01 V611 OC32 S1 AG Associates Heatpulse Chips
365 7600‐0256‐01 V611 C555 S2 Interface AG Associates Heatpulse Chips
366 7600‐0257‐01 V611 E319 S3 AG Associates Heatpulse Chips
367 7600‐0258 V611 GFB8 S4 AG Associates Heatpulse Chips
368 7600‐0272‐01 AG Associates Heatpulse Chips
369 7650‐0100‐01 AG Associates Heatpulse SST Tube Gas Line With Fittings‐10
370 7650‐0100‐01 Tube Gas Line With Fittings AG Associates Heatpulse
371 7650‐0157‐01 SST Tube Gas Line With Fittings AG Associates Heatpulse
372 7650‐0159‐06 tube, purge exh
373 7650‐0298‐02 B (?)Tube Gas Line With Fittings AG Associates Heatpulse
374 7650‐0298‐02 B Tube Gas Line With Fittings AG Associates Heatpulse
375 76H4972 J127W036298 94‐3015 PCB AWM‐B‐4‐5‐002
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 8 sales@semistarcorp.com
376 7EC4889‐850 Pall Trinity Micro SST Gas Tube ID‐AWS‐021
377 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket
378 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring
379 8 Inch  Wafer Carrier With 6 Inch Pocket With 1.5 mm Holes
380 8 Inch Cassette With Box
381 8 Inch Si Wafer With 6 Inch Pocket With Flat
382 8 Inch Si Wafer With 6 Inch Pocket With Flat
383 80‐095‐256 Pin Lifter Fiber Optic Cable Tegal Spare Part
384 80‐095‐278 Rev 10 RW Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐001
385 80‐095‐278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐004
386 80‐095‐278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐005
387 80‐095‐278 RW B Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐003
388 80‐095‐278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
389 80‐095‐278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
390 80‐095‐278 Tegal  901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐006
391 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐002
392 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐005
393 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐001
394 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐002
395 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐003
396 80‐202‐291 Tegal Spare Part
397 80‐202‐327 Tegal Spare Part
398 81‐007‐138 Bellow  for Tegal equipment
399 8210 SOLENOID VALVE
400 88102K‐RE Omega Replacement Element
401 882‐99‐000 sch 882‐99‐101
402 882‐99‐000 sch 882‐99‐101 MOD 8332
403 90‐1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD‐3‐1‐7‐007 2/2
404 90‐1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD‐D‐3‐1‐8‐003
405 9030‐0300‐02 For 7100‐0474‐01 Robot Effector AG Associates
406 92‐005346‐0X Rev D‐B‐02 5930 034542 PCB AWM‐B‐4‐5‐001
407 921D‐A1P The Foxboro Company Temperature Controller ID‐AWM‐D‐3‐1‐002
408 94‐287‐002 Tegal 1513e Switch , Differential Spare Part
409 944SSFSFF VERIFLO Regulator Valve
410 944SSFSFF VERIFLO Regulator Valve With Plate 031‐0097 Rev A
411 94C19C6R Valcor Engineering Corp Valve
412 95‐3531 REV. B PCB Sea level Systems
413 95‐3618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510
414 959‐100W‐2P‐FS‐MF VERIFLO Regulated Valves
415 980‐4825 ROBITECH INC SN36367 ‐8 VALVE MODULR 980‐4800 SERIES
416 9830‐0390 Station AG Associates Heatpulse, With9820‐2020 SVPC‐1
417 9830‐0390 Station AG Associates Heatpulse, With9820‐2020 SVPC‐1
418 9830‐2030 Sensor I/O AG Associates Heatpulse PCB
419 9830‐2080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 7100‐5211‐0
420 99‐046‐001  MEC‐1 TEGAL PCB 98‐046‐001 REV A
421 99‐046‐602 REV C MEC‐2 TEGAL PCB 98‐046‐001 REV C
422 99‐103‐001 REV E LMB‐1 TEGAL PCB 98‐103‐001 REV A
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 9 sales@semistarcorp.com
423 99‐104‐001 LMC‐1 TEGAL PCB 98‐104‐001
424 99‐106‐001 REV D ATS‐1 TEGAL PCB 98‐106‐001 REV B
425 99‐111‐001, AST‐1, TEGAL PCB
426 99‐114‐001 REV B MMC‐1 TEGAL PCB 98‐114‐001 REV A
427 99‐118‐001 REV B RFL‐1TEGAL PCB 98‐118‐001 REV 1 A
428 99‐121‐001 REV B TEGAL PCB 98‐121‐001 REV A
429 99‐125‐004 REV D FPI‐4 TEGAL PCB 98‐125‐002 REV A
430 99‐125‐004 REV G FPI‐4 TEGAL PCB 98‐125‐004 REV B
431 99‐125‐004 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐010
432 99‐125‐008 REV C FPI‐8TEGAL PCB 98‐125‐008 REV B
433 99‐126‐005 PCB  Tegal 901e Tegal 903e AWM‐G‐5‐3‐008
434 99‐126‐006 PCB  Tegal 901e Tegal 903e AWM‐G‐5‐3‐009
435 99‐128‐002 TEGAL PCB 98‐128‐002 REV 4
436 99‐128‐003 REV E ISR‐3 TEGAL PCB 98‐128‐003 REV 1
437 99‐129‐004 REV F SHI‐4 Tegal PCB 98‐129‐004 REV A
438 99‐138‐001 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐008
439 99‐138‐001 TEGAL PCB 98‐138‐001 REV 2
440 99‐138‐004  Tegal PCB 98‐138‐004
441 99‐142‐001 Tegal PCB 98‐142‐001
442 99‐172 Tegal PCB 98‐172‐001 REV A
443 99‐172 Tegal PCB 98‐172‐001 REV2
444 99‐172‐001 REV S IMN‐1 98‐172‐001 REV A, Tegal 901e, Tegal 903e
445 99‐172‐002 , IMN‐3 ,Tegal PCB ,98‐172‐001
446 99‐172‐002 Tegal 901e Tegal 903e AWM‐G‐5‐3‐007
447 99‐172‐003 , IMN‐3 ,Tegal PCB ,98‐172‐003
448 99‐172‐003 REV F IMN‐3 98‐172‐003 REV B, Tegal 901e, Tegal 903e
449 99‐172‐003 Tegal 901e Tegal 903e AWM‐G‐5‐3‐006
450 99‐173‐003  Tegal PCB
451 99‐173‐004 REV D RFG‐4 Tegal PCB 98‐173‐003 REV B
452 99‐173‐004 Tegal PCB 98‐173‐003
453 99‐173‐005 REV A RFG‐5 Tegal PCB 98‐173‐005 REVA
454 99‐173‐008 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐007
455 99‐173‐008/c Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐009
456 99‐181‐001 B EPD‐1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM‐G‐5‐3‐005
457 99‐181‐002 D EPD‐0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM‐G‐5‐3‐004
458 99‐181‐002 REV L EPD‐2 Tegal PCB ENDPOINT DETECTOR
459 99‐186‐001 REV 2A ATT‐1 and 98‐186‐001 Tegal PCB
460 99‐187‐002 REV A ATO‐2 and 98‐187‐002 REV 1 Tegal PCB
461 99‐190‐002 REV A PMF‐2 and 98‐190‐002 REV A Tegal PCB
462 99‐195‐003  Tegal 1513e PCB, Switch Assembly Spare Part
463 99‐196‐001 6 ATI‐2 and 98‐196‐001 REV 2 Tegal PCB
464 99‐196‐001 J and 98‐196‐001 REV C Tegal PCB
465 99‐196‐002 P ATI‐2 and 98‐196‐001 REV C Tegal PCB
466 99‐196‐002 P ATI‐2 and 98‐196‐001 REV E Tegal PCB
467 99‐196‐002 REV E ATI‐2 and 98‐196‐001 REV B Tegal PCB
468 99‐196‐002 REV K ATI‐2 and 98‐196‐001 REV C Tegal PCB
469 99‐196‐002 REV W ATI‐2 and 98‐196‐001 REV C Tegal PCB
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 10 sales@semistarcorp.com
470 99‐196‐002 REV W ATI‐2 and 98‐196‐001 REV F Tegal PCB
471 99‐200‐001 REV 4 SIS‐1 PCB TEGAL 98‐200‐001 REV 2 (1).JPG
472 99‐200‐003 REV B SIS‐3 PCB TEGAL 98‐200‐002 REV 1  Tegal
473 99‐200‐003 REV C SIS‐3 PCB TEGAL 98‐200‐002 REV 1 Tegal
474 99‐200‐004 REV C SIS‐4 PCB TEGAL 98‐200‐001 REV 2
475 99‐200‐005 REV B SIS‐5 PCB TEGAL 98‐200‐002 REV 1
476 99‐200‐005 REV E SIS‐5 PCB TEGAL 98‐200‐002 REV 1
477 99‐200‐005 REV G SIS‐5 PCB TEGAL 98‐200‐002 REV 1
478 99‐200‐005 REV H SIS‐5 PCB TEGAL 98‐200‐002 REV 1
479 99‐200‐005 REV H SIS‐5 PCB TEGAL 98‐200‐002 REV A
480 99‐200‐005 REV J SIS‐5 PCB TEGAL 98‐200‐002 REV A
481 99‐207‐004 REV A MBE‐4 PCB TEGAL 98‐207‐004 REV A  Tegal
482 99‐207‐004 REV C MBE‐4 PCB TEGAL 98‐207‐004 REV B Tegal
483 99‐209‐001 REV C PCB ADM‐1 TEGAL 98‐209‐001 REV A   , Tegal 901e, Tegal 903e
484 99‐209‐001 REV E PCB ADM‐1 TEGAL 98‐209‐001 REV A , Tegal 901e, Tegal 903e
485 99‐209‐001 REV F PCB ADM‐1 TEGAL 98‐209‐001 REV 1 , Tegal 901e, Tegal 903e
486 99‐209‐001 REV F PCB ADM‐1 TEGAL 98‐209‐001 REV A, Tegal 901e, Tegal 903e
487 99‐214‐002 REV 5 PCB TEGAL 98‐214‐002 REV 2  , Tegal 901e, Tegal 903e
488 99‐214‐003 REV A PCB TEGAL 98‐214‐002 REV A , Tegal 901e, Tegal 903e
489 99‐214‐003 REV C PCB TEGAL 98‐214‐002 REV A  , Tegal 901e, Tegal 903e
490 99‐214‐003 REV G PCB TEGAL 98‐214‐002 REV 2, Tegal 901e, Tegal 903e
491 99‐214‐003 REV H PCB TEGAL 98‐214‐002 REV C  , Tegal 901e, Tegal 903e
492 99‐287‐001 REV C SST 98‐287‐001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e
493 99‐309‐001 Rev B OSI‐1 98‐309‐001 Rev A 42‐730‐001 Rev B 93‐318‐001 Tegal
494 994750‐0001 70 DG840600169 Assy 994752‐000 /994751‐000 AWM‐B‐4‐5‐004
495 9x2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover
496 A&N Cooperation 2‐way Flange SST New AWM‐F‐2‐6
497 A&N Cooperation 4‐way Flange SST New AWM‐F‐2‐5
498 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWM‐C‐4‐6
499 A01‐132‐01 / 01‐2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
500 A01‐132‐01 / 01‐2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
501 A111E1 And 111M4A For AG Asscoiates Heatpulse?
502 A1‐9604 FCC ID B9482335 HP 3345 82335‐60001 F 82235‐8001 AWW‐10‐2‐4‐002
503 A196B PCB
504 A36‐B10A‐15T2‐000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected
505 A4‐000‐01 QUARTZ TRAY 6 inch
506 A4‐0120‐00 QUARTZ Tube
507 A4‐0121‐00 QUARTZ Plate , Thick
508 A4100‐4A 4 Inch Muffle SiC Coat , Susceptor Base
509 A5 ElectroGlas Theta Z Joystick Assy 250262‐001 Rev E AWW‐10‐2‐5‐007
510 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067‐001 Rev L AWW‐10‐2‐5‐001
511 A8100‐4B 4 Inch Muffle SiC Coat , Susceptor Disc
512 A93‐038‐01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
513 A93‐038‐01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
514 A95‐060‐01 Gasonics INTL With SST Tube AWD‐D‐3‐1‐5‐006
515 A95‐107‐01 B Control Panel For Gasonics Aura 2000LL AWD‐D‐2‐8‐10
516 A95‐107‐01 B Control Panel For Gasonics Aura 2000LL AWD‐D‐2‐8‐10‐003
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 11 sales@semistarcorp.com
517 A95‐205‐01  With A90‐048‐01 ,A90‐029‐02 Gasonics Aura 3010 AWD‐D‐3‐1‐14‐005
518 A95‐205‐01 Rev A & A95‐053‐02 C Gasonics Lamp Assembly For Gasonics Aura
519 A95‐205‐01 Rev A & A95‐053‐02 C Gasonics Lamp Assembly For Gasonics Aura
520 AB Bulletin 1492‐ERL35 A Terminal Items
521 AB Bulletin 1492‐H6 Terminal Items
522 ABB AL30 AL30‐30‐10
523 AC DC Power Box For AG Associates Heatpulse
524 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWD‐D‐3‐3‐1
525 AC Service CF 1004 For Tegal 901e 903e AWD‐D‐3‐2‐12
526 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
527 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
528 Accessories, Package Sale
529 Accurate Gas Control System No. 00746 Water Cooling Jacket OD 4.5”ID‐AWM‐D‐5‐002
530 Accurate Gas Control Systems Temperature Control AGT254200‐1 PCB
531 ACE Glass 12530‐17 Cylinder 2000ML SP Scienceware Cylinder, PP, Graduated , 2000
532 Acopian Model AC To DC Power Module DB15‐30 AWM‐F‐2‐4‐004
533 Acopian U35Y500M Unregulated Power Supply 3A 250v ID‐AWS‐K‐1‐012
534 Acrylic Sheet Plate
535 AD Data Inc Model 5600 Switching Control Mainframe ID‐AWM‐F‐1‐009
536 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher
537 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher
538 Adaptec AHA‐2940W/ 2940UW Assy 917306‐52 FGT2940UW BD0B20105BE AWW‐10‐2‐4‐004
539 Adaptec AHA‐2940W/2940UW Assy 917206‐18 BF0A71403H9 FGT2940UW AWW‐10‐2‐16‐003
540 Adaptec AHA‐2944UW Assy 991506‐12 FGT‐2944UW 05394C‐C/O SG‐A00 AWW‐10‐2‐3‐007
541 Adaptec AHA‐2944W / 2944UW Assy 917306‐00 FGT‐2944UW BA0J7310G1F AWW‐10‐2‐4‐001
542 Adapter /flange For Thin Film Deposition Equipment
543 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW‐10‐2‐15
544 Advanced Energy 01990 Power Supply , DC Power Supply
545 Advanced Energy 2011‐029‐A Power Supply , DC Power Supply
546 Advanced Energy 2011‐029‐D Power Supply , DC Power Supply
547 Advanced Energy 2011‐029‐E Power Supply , DC Power Supply
548 Advanced Energy 2012‐000‐F Power Supply , DC Power Supply
549 Advanced Energy 2012‐000‐K Power Supply , DC Power Supply
550 Advanced Energy 2225‐000‐F Power Supply , DC Power Supply
551 Advanced Energy 2225‐000‐S Power Supply , DC Power Supply
552 Advanced Energy 2225‐000‐S Power Supply , DC Power Supply
553 Advanced Energy 3006‐000‐B / 0090‐90279 High Voltage Unit Focus
554 Advanced Energy 3152012‐037TPower Supply , DC Power Supply
555 Advanced Energy 3155017‐000 RF Match Unit Matching Network Tuner
556 Advanced Energy 3155017‐000 RF Match Unit Matching Network Tuner
557 Advanced Motion For Pre‐aligner Ver.2 12403‐0040 PC3XC‐PM1 AWR‐039‐CC‐1‐004
558 Advanced Motion For Pre‐aligner Ver.2 14191‐0003 X02 PC3XD‐PF1 AWR‐039‐CC‐1‐003
559 Advanced Radiation Corp CSA75‐SPEC For Gasonics Aura 3010 AWD‐D‐3‐1‐15‐003
560 AE Advanced Energy 4013‐012‐01 Plasma Technology Control ID‐AWM‐F‐1‐005
561 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐006
562 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐007
563 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐008
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 12 sales@semistarcorp.com
564 AG Asscoiates 7100‐3689‐01 Tool, Flange Pin Repl
565 AG Asscoiates Alarm Lamp With 7200‐0514‐03 C Plate
566 AG Asscoiates Bracket 7200‐0973‐02 B
567 AG Associates (Metron Technology) 7100‐5995‐02B, Tray, Ceramic Shield, 6 inch
568 AG Associates (Metron Technology) 7100‐6360‐01A Tray, Ceramic Shield, 4 inch
569 AG Associates (Steag RTP Systems) 7310‐1644‐01B
570 AG Associates 031‐0096 050‐0296 Gas Lines With Valves
571 AG Associates 0641‐0796‐02 C Kit, FlowMtr/Flowsw Retro
572 AG Associates 2100‐0201‐01 Rev 005 VGA/FLAT Panel Video Card AWM‐B‐4‐5‐018
573 AG Associates 2706‐0004‐01 T.E.M. Filter TEM‐915 MFCPT ID‐AWM‐A‐3‐5‐001
574 AG Associates 2706‐0011‐02 ? Pall GLFPF3000VFM4 4KB‐M2000‐1 ID‐AWM‐A‐3‐5‐003
575 AG Associates 2900‐0036‐01 WIKA Type 111 10 2”  ID‐AWM‐A‐3‐7‐001
576 AG Associates 4000‐0005‐01 LVS‐44 E‐5 HAL‐01‐386 R‐1 AWM‐F‐2‐4‐002
577 AG Associates 4500‐9020‐01
578 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D
579 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7210‐0510‐01 Bracket
580 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7310‐0510‐01 A Bracket
581 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7310‐0532‐01 A Bracket
582 AG Associates 7100‐4033‐01B Tray, 7310‐2881‐01 For 8 Inch
583 AG Associates 7200‐0068 Rev F Globe Motors 409A6029‐2 7310‐3605‐01
584 AG Associates 7200‐0962‐03 C
585 AG Associates 7310‐2843‐01A Quartz Tray With TC Station For Heatpulse 8108 8800
586 AG Associates 7310‐2935‐01A For Heatpulse 4100 6 Inch
587 AG Associates 7310‐2956‐01
588 AG Associates 7310‐3575‐01A (?) Quartz Door Inlay Long
589 AG Associates 7310‐3575‐01A Quartz Door Inlay Short
590 AG Associates 7310‐4434‐01A Quartz Tray With TC Station (Rear) 4‐6 Inch
591 AG Associates 7310‐4434‐01A Quartz Tray With TC Station (Rear) 4‐6 Inch
592 AG Associates 7400‐0102‐01C Quartz Inlay
593 AG Associates 7400‐0109‐06 quartz Tray For Heatpulse 8108 4108 8800
594 AG Associates 7400‐0115B / 7310‐4341‐01B Quartz Tube For Heatpulse 8108 8800
595 AG Associates Door Control Panel With 7100‐5126‐01 Assembly ID‐AWM‐A‐3‐6‐003
596 AG Associates Door Control Panel With 7100‐5136‐01 Assembly ID‐AWM‐A‐3‐6‐004
597 AG Associates Heatpulse 210 Rapid Thermal Processing equipment
598 AG Associates Heatpulse 4100 Chamber Assembly
599 AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment SN 1M93174
600 AG Associates Heatpulse 610 Rapid Thermal Processing equipment
601 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
602 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
603 AG Associates Heatpulse 7200‐0428‐01A Door
604 AG Associates Heatpulse 7200‐1069‐01B
605 AG Associates Heatpulse 8108 8800 7100‐5897‐01, Assembly,Quartz Tray,6", EZ‐DTC
606 AG Associates Heatpulse 8108 8800 7100‐5898‐01, Assembly,Quartz Tray,8", EZ‐DTC
607 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard
608 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400‐0100‐05J
609 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400‐0100‐06K
610 AG Associates Heatpulse Ceramic Shield 6 Inch
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 13 sales@semistarcorp.com
611 AG Associates Heatpulse Ceramic Shield 8 Inch
612 AG Associates Heatpulse Pump 115 , GAST MOA‐V113‐AE
613 AG Associates Heatpulse Pump 115 , GAST MOA‐V113AE With 7100‐1270‐05 Wire Cable
614 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD‐1‐13
615 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
616 AG Associates Heatpulse Susceptor 7310‐0719‐02 New 6” Starship W/Thermo Wells
617 AG Associates Heatpulse Susceptor 7310‐1028‐02 New
618 AG Associates Mini‐pulse 310 Rapid Thermal Processing Rapid Thermal Annealing
619 AG Associates Plate With TRW Global Motor 409A6029‐2 AWM‐C‐4‐1‐001
620 AG Associates PN 7310‐4620‐01
621 AG Associates Purge Interface 9830‐2090 PCB
622 AG Associates Quartz Baffle
623 AG Associates Quartz Liner 7310‐3010‐01A For Heatpulse 4100 6 Inch
624 AG Associates Quartz Liner 7310‐3010‐02B For Heatpulse 4100 6 Inch
625 AG Associates Quartz Tray 7310‐0692‐01 For Heatpulse 4100 TC Station 6 Inch
626 AG Associates Quartz Tray 7310‐0692‐02 For Heatpulse 4100 M‐tray Slip Free 6 Inc
627 AG Associates Quartz Tray 7400‐0101‐06
628 AG Associates Quartz Tray 7400‐0106‐06J
629 AG Associates Quartz Tray 7400‐0109‐03B 5 Inch
630 AG Associates Quartz Tray 7400‐0109‐06F 5 Inch
631 AG Associates Quartz Tray 7400‐0109‐06F 5 Inch
632 AG Associates Quartz Tray 7400‐0109‐06K 5 Inch
633 AG Associates Quartz Tray 8 Inch 7310‐5111‐01?
634 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
635 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
636 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
637 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
638 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
639 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
640 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
641 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
642 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
643 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 3‐6 “ 7400‐0111‐01
644 AG Associates Quartz Tray, 7400‐0133‐03 / 7100‐1844‐01C
645 AG Associates Quartz Tray, 7400‐0133‐03 / 7100‐1844‐01C
646 AG Associates Quartz Tray, Standard For 8 Inch
647 AG Associates Quartz Tube 7400‐0023‐01G 6 Inch
648 AG Associates Quartz Tube 7400‐0100‐05J
649 AG Associates RMS Analog Card PCA 7100‐5209‐01‐A AWW‐10‐2‐6‐004
650 AG Associates Steag 2908‐0044‐01 Flower Meter Rate‐Master Dwyer ID‐AWM‐A‐3‐5‐008
651 AG Associates Steag 5108‐008‐01 Pressure Control FIO 3 Flow ID‐AWM‐A‐3‐5‐010
652 AG Associates Steag 7100‐0881‐02 ? Kobold Type 41R57 Flow Meter ID‐AWM‐A‐3‐5‐009
653 AG Associates Steag Ast 4000‐0009‐01 DC Power?  ID‐AWM‐A‐3‐5‐007 /‐7‐002
654 AG Associates Steag Ast 5102‐0025‐01 Main Contactor ID‐AWM‐A‐3‐5‐006
655 AG Associates Steag Ast 83300016 Siemens 3TK28 04‐0BB4 ID‐AWM‐A‐3‐7‐003
656 AG Associates Steag Ast Elektronik 5103‐9036‐01 Switch,pressure ID‐AWM‐A‐3‐5‐005
657 AG Associates Steag Ast Elektronik 7310‐9423‐01 Pressure Meter  ID‐AWM‐A‐3‐5‐007
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 14 sales@semistarcorp.com
658 AG Associates Steag Ast Elektronik Universal Adhesive 99300009 ID‐AWM‐A‐3‐5‐004
659 AG Associates Steag Tric Plate Assembly ID‐AWM‐A‐3‐6‐001
660 AG Associates Steag Tric Plate Assembly ID‐AWM‐A‐3‐6‐002
661 AG Associates Steak RTP System 7310‐9733‐01 P1 Tube , Quartz , Steam Output
662 AG Associations 4502‐0228‐01 NUPRO 6L‐MV2858‐11 Valve
663 Agilent E5940B #300 /E5840B  TracePort Analyzer ID‐AWW‐8‐2‐3‐002
664 Agilent HFBR 5903e 0105 Made In Malaysia AWM‐B‐4‐5‐017
665 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWD‐D‐1‐5‐001,‐4‐001
666 Air Products ANSI B40.1 Pressure Meter 2.5” 0‐1400kpa Cajon N‐70 AWM‐F‐2‐4‐001
667 AIR Velocity Meter Model 440 ID‐AWM‐F‐1‐002
668 Airco Temescal FC‐1800 BOC Coating Technology Programmable Sweep ID‐D‐EV001‐5
669 Airco Temescal FC‐1800 Controller ID‐D‐EV001‐2
670 Airco Temescal FC‐1800 CV‐8 High Voltage Control  ID‐D‐EV001‐7
671 Airco Temescal FC‐1800 CV‐8 High Voltage Control ID‐D‐TRACK‐2‐001
672 Airco Temescal FC‐1800 Evaporator
673 Airco Temescal FC‐1800 Evaporator
674 Airco Temescal FC‐1800 Gun Control 1   ID‐D‐EV001‐8
675 Airco Temescal FC‐1800 Gun Control 1 ID‐D‐TRACK‐2‐002
676 Airco Temescal FC‐1800 Inficon IC 6000 ID‐D‐TRACK‐2‐003
677 Airco Temescal FC‐1800 Inficon IC/5 Deposition Controller ID‐D‐EV001‐3
678 Airco Temescal FC‐1800 Leak Check Sensitivity Varian ID‐D‐EV001‐12
679 Airco Temescal FC‐1800 Manual Vale Control ID‐D‐EV001‐10
680 Airco Temescal FC‐1800 MKS HPS Products 937A Gauge Controller ID‐D‐TRACK‐2‐007
681 Airco Temescal FC‐1800 Shutter Control Boc Coating Technology ID‐D‐EV001‐9
682 Airco Temescal FC‐1800 Substrate Heat ID‐D‐EV001‐11
683 Airco Temescal FC‐1800 Varian 843 Vacuum Ionization Gauge  ID‐D‐TRACK‐2‐006
684 Airco Temescal FC‐1800 Varian Accessory Control ID‐D‐TRACK‐2‐005
685 Airco Temescal FC‐1800 Varian Vacuum Process Control 980‐6100 ID‐D‐TRACK‐2‐004
686 AIRPAX Cambridge MD USA Circuit Breaker 1 Point Unit  AIRPAX M 209 LR26229
687 Airproducts 809‐451247704A SST Gas Tube ID‐AWS‐021
688 Airproducts 809‐470172904A SST Gas Tube ID‐AWS‐021
689 Aixcon Surface GSNX No. 94‐3075 Part No. 1700.2021 Date 04/2000
690 AJA International Model ST‐4510 Sputter Cathode With Target 7x12x1.5 Inch
691 AJA International Sputter Cathode
692 AJA International Sputter Cathode Part
693 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ‐6‐004
694 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐001
695 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐002
696 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐003
697 Alarm Lamps Frame For AG Associates Heatpulse
698 Alcatel OME 40 C2
699 Alcatel‐Annecy Ty 5402 C15 Turbo Pump ? ID‐DZ‐7‐006
700 Alicat Mass Flow Meter, MS‐10SLPM, Gas H2S
701 Allwin21 AW‐ETCH‐105‐002 21‐0009‐002 /2100‐0105‐002 K PCB
702 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐020
703 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐021
704 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐022
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 15 sales@semistarcorp.com
705 Alpha? MBA‐WV1‐F FCC ID JSQASLWACJJMP1 JAX‐8228 Clone Cirrus AWW‐10‐2‐16‐006
706 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 03601‐22‐040, INDUSTRIAL ELECTRONI ENGINEE
707 Altech Corp ABLsursum  38‐2826 3BU32 B32A Zone21  ID‐AWM‐D‐3‐1‐001
708 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP
709 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP
710 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP ‐2
711 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher
712 AMAT 0020‐04018 Rev G NDM‐176‐041 Chuck ID‐AWM‐D‐2‐1
713 AMAT AMP‐3300 PECVD
714 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐002
715 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐003
716 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐004
717 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐005
718 Amperex 4‐400A 97‐12  ID‐AWS‐011
719 Analog Board AG Associates Heatpulse PCB 9800‐0310 REV E
720 Analog Board HP811204‐01 REV 13 AG Associates Heatpulse PCB 9000‐0290
721 Anelvi Vacuum Connector NW35 To 5/8 Inch Tube ID‐AWS‐026
722 Antec 1.6
723 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 ID‐AWW‐7‐2‐4‐001
724 AP1002S 2PW FV4 FV4 APTech Regulated Valves
725 AP1002S 2PW MV4 MV4 APTech Regulated Valves
726 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve
727 AP1410SM 2PW FV4 MV4 APTech Regulated Valves
728 AP1510S 5PW SG Valve,HPI Valve SEMI 01‐0241‐B Pressure Meter
729 AP3625S 2PW FV4 FV4 APTech Valve
730 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
731 AP3625SM 2PW FV4 FV4 APTech Valve
732 AP3625SM 2PW FV4 FV4 APTech Valve
733 Applied Materials AMAT Automatic Temperature Control 600369 ID ‐AWM‐B‐4‐2‐004
734 Applied Materials Plasma II Plasma Etching
735 APS‐B‐2710‐10 PCB Contactor Relay Driver Applied Materials AMAT PCB
736 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process
737 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID‐AWS‐20
738 APTech AP3625S 2PW FV4 FV4 AWM‐C‐4‐7‐001
739 APTech AP3625SM 2PW FV4 FV4 AWM‐C‐4‐7‐002
740 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWM‐C‐4‐8‐001
741 ARM Controller Board Assy 06764‐002 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐011
742 ARM Controller Board Assy 06764‐002 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐3‐002
743 ARO BRYAN 127122‐000 Valve, Gas Line With Pressure Meter Plastic Tube
744 ASCO Red‐hat SCB262G91VH Valve Automatic Switch Co
745 ASCO Scientific AL374 VI30PSI 5102 24VDC
746 ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200‐1114‐03 C
747 ASFH34x214 RE SRCE 18NPT And 7200‐1224‐03C For AG Asscoiates Heatpulse
748 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWM‐D‐3‐2‐003
749 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA
750 Assembled In Mexico AIRPAX AG  Associates 5102‐0021‐01
751 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102‐0038‐01
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 16 sales@semistarcorp.com
752 Assembled In Mexico AIRPAX Line AG  Associates 5102‐0034‐01
753 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102‐0033‐01
754 Associated Vacuum Technology Lab‐Line 3625 With LAB‐LINE   SQUAROID Duo‐VAC Oven
755 ASSY 001‐0084‐01 IO BOARD 10083 REV B
756 Assy 00200‐AD01 REV B ( 14907‐01 / 14908‐01 / 14909‐01 PCB )
757 ASSY 03‐0172‐400 REV B 3C905B‐TX‐WOL
758 Assy 10090 Motor Driver 10089 Rev A
759 Assy 10092 Motor Driver 10091 Rev A
760 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWD‐D‐1‐1‐7‐011
761 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 68‐0131?
762 ASTECH Model Automatic Matching Controller RC‐10U ID ‐AWM‐B‐4‐1‐003
763 Asyst Mini environment Cassette
764 Asyst Mini environment Cassette, 6 Inch
765 Asyst Mini environment Cassette, 6 Inch
766 ASYST SAM‐4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWD‐D‐3‐1‐14‐004
767 ASYST TECHNOLOGIES Minienvironment for Gasonics Aura 3010, Gasonics L3510
768 Asyst Technologies Polaron Film Thickness Monitor E5500 ID‐AWS‐K‐1‐007
769 Automation Unlimited PCB 25013‐6
770 Autonics AC Ampere Meter M4W‐A ID‐AWM‐A‐3–4‐018
771 AW‐105‐0019 Rev B Matrix TRANSPORT INTERFACE 21‐0009‐0105‐019
772 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher
773 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series
774 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher
775 B5‐3.0 OVP Condor Inc Power Supply
776 Banner Modulated Photoelectric Amplifier MA3 AWD‐D‐3‐1‐5‐012
777 Barnstead Thermolyne pM‐512 ID‐AWS‐K‐1‐004
778 Battery Backup Unit For AG Associates Heatpulse
779 Bausch & Lomb Transformer Cat No 31 35 28 AWM‐F‐2‐1‐001
780 Bausch&Lomb Microscope StereoZoom 7  1.0‐7.0x With 2 Of 10x W.F. AWW‐6‐3‐013
781 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1‐7x ID‐AWW‐7‐2‐1‐001
782 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1‐7x ID‐AWW‐7‐2‐1‐002
783 Bausch&Lomb Microscope W/ StereoZoom 4 0.7x‐30x AWW‐6‐2‐4‐006
784 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x ‐ 3x ID‐AWW‐7‐2‐2‐002
785 Bausch&Lomb Microscope W/ Zoom 200M  1‐7x ID‐AWW‐7‐2‐2‐001
786 Bausch&Lomb Microscope Zoom 1x‐7x , 2 Of 10x W.F. Stered ID‐AWW‐7‐2‐4‐004
787 Bay A.T. BES‐4243 BES 4243 BES4243 AWM‐B‐4‐5‐011
788 Bay A.T. Gas Distributor PCB AWM‐G‐E‐2‐011
789 Bay Pneumatic Inc BES‐530‐8‐PCB 80‐095‐255. (?) PWK52486 AWM‐B‐4‐5‐009
790 Bay Seal Co $32240‐325‐WS6$ MIO‐MS‐R‐325‐W‐404 ID‐DW‐6‐4‐2‐001
791 Bay Seal Co ? American Variseal R40‐214‐W99S ID‐DW‐6‐4‐2‐012
792 Bay Seal Company Part Number 2‐383V75 O‐ring Viton 75d For STS ICP
793 Bay‐Tec 80‐055‐437 For Tegal? ID‐AWS‐K‐2‐002
794 Beaker 1000ml 8900‐752 Kimax Kimble No 14030
795 Beaker 2000ml VWR 10536‐518
796 Beaker 200ml
797 Beaker 4000ml Kimax Kimble No 14005
798 Beaker 400ml
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 17 sales@semistarcorp.com
799 Beaker 600ml Pyrex No 1000 (3 PC) VWR 89000‐224 (2 PC)
800 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
801 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
802 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N209‐06 Cable AWW‐10‐2‐2
803 Bellow  For  Branson/IPC  Asher (?) ID‐AWS‐k‐5‐1‐004
804 BF‐006 IHC24‐2.4 11944 International Power DC Power Supplies
805 BIMBA D‐17469‐A‐8 Plastic Filter? For Gasonics Aura 3010 3000 AWD‐D‐3‐1‐10‐002
806 BIMBA D‐17469‐A‐8 Stainless Filter? For Gasonics Aura 3010 3000  AWD‐D‐3‐1‐9‐001
807 BIMBA D‐17469‐A‐8 Stainless Filter? For Gasonics Aura 3010 3000 AWD‐D‐3‐1‐10‐001
808 Black‐Ray Longwave Ultraviolet Lamp ID‐AWS‐L‐1‐3‐003
809 B‐line LR 78446 Power Box
810 Blue M OV‐472A‐2 38C‐260C
811 Blue‐white F‐400 Flow Meter , Pressure Meter
812 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5
813 Bodine Minarik Electric NSH‐12RG Motor ID‐AWS‐20
814 Bold Technologies 625 DTS Model 625D Dump Rinse Control ID‐AWM‐D‐2‐2‐001
815 BOLD Technologies INC Batch Develop Station
816 Boonton Electronics Model 72BD Capacitance Meter Tester ID‐AWW‐8‐2‐4‐002
817 Bosch&Lomb Microscope Sterea Star Zoom 0.7x‐4.2 570 2 Of 15x ID‐AWW‐6‐2‐1‐001
818 Box Power
819 Box Power, RF
820 Bracket For AG Asscoiates Heatpulse 4100
821 Branson 3000 Barrel Asher
822 Branson IPC 2000C Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
823 Branson IPC 4000 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
824 Branson IPC B3003 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
825 Branson/IPC 2000/2 Plasma Asher
826 Branson/IPC 3000 Barrel Asher Etcher With 2000C Controller
827 Branson/IPC 3000 Plasma Etch/Asher system
828 Branson/IPC 3000C Controller
829 Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean
830 Branson/IPC 4000 Controller
831 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher
832 Branson/IPC 843601188 A /843‐601188 A Made In Japan DP 1240 C1
833 Branson/IPC Automatch Board PWA 804‐13284‐01 REV B
834 Branson/IPC Automatch Board PWA804‐13284‐01 B ID‐AWM‐E‐5‐2‐005
835 Branson/IPC Automatch Board PWA804‐13284‐01 LL ID‐AWM‐E‐5‐2‐006
836 Branson/IPC D2000 Controller For Branson/IPC 3001, 2000, 4001,3000 AWD‐D‐3‐3‐2
837 BRanson/IPC Display Driver 16498‐01 B AWD‐D‐3‐1‐7‐004 2/2
838 BRanson/IPC Display Driver 16498‐01 B AWD‐D‐3‐1‐7‐005 2/2
839 Branson/IPC Display Driver 16498‐01 PCB AWD‐D‐3‐1‐8‐001
840 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
841 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
842 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
843 Branson/IPC Gasonics Lamptray Assy A95‐053‐02 And A95‐205‐01 AWD‐D‐1‐4–7
844 Branson/IPC L2101 Barrel Asher Etcher With New PM9110 Controller , 5 Gases Box
845 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD‐D‐1‐10
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 18 sales@semistarcorp.com
846 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD‐D‐2‐1
847 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWD‐D‐2‐2
848 Branson/IPC L3200 Plasma Asher Plasma Stripper AD‐AWO‐1‐13
849 Branson/IPC L3200 RF Match
850 Branson/IPC Model Reactor Center S2005‐11020 Barrel Asher Etcher
851 Branson/IPC PM 119 Generator
852 Branson/IPC PM 119 RF Generator
853 Branson/IPC PM‐921C‐TEMP Controller And DIONEX GAS Plasma Systems PM119‐500 RF
854 Branson/IPC Power Distribution  PN 12255‐G Branson/IPC 3001, 3000 AWD‐D‐3‐3‐3
855 Branson/IPC Power Distribution LP P/N 12255‐G AWD‐D‐3‐2‐1
856 Branson/IPC Reactor Center PM‐11020 Plasma  Asher Plasma Cleaner Plasma Descum
857 Branson/IPC Reactor Center S3003‐1813 With 3000C Controller Plasma Asher Descum
858 Branson/IPC S2100T‐11220 Plasma Asher Plasma Cleaner Dry Asher Plasma Descum
859 Branson/IPC S3000 Controller 1 Gas
860 Bridgeport Machines Inc J259536 W/ ACU•RITE III Series I 2HP  ID‐NMA‐013
861 Bridgeport Machines Inc J‐99441 Model No 100991 ID‐NMA‐012
862 Broadway Networks Inc 35‐00001‐01 /35‐00002‐01/ 35‐00003‐01 AWM‐G‐4‐1‐007
863 Broadway Networks Inc 701‐00001‐01 No Components On The PCB AWM‐G‐4‐1‐006
864 Broadway Networks Inc 701–00002 iST 94V‐0 0624 IM‐0  AWM‐G‐4‐1‐002
865 Broadway Networks Inc 701‐00003 AWM‐G‐4‐1‐005
866 Broadway Networks Inc 701–00006 06‐4640 AWM‐G‐4‐1‐003
867 Broadway Networks Inc 701‐00009‐01 /0098‐90AWM‐G‐4‐1‐002
868 Brooks Automation ? Model 101‐0650 Model Frog Robot  ID‐AWM‐D‐5‐004
869 Brooks Automation ESC‐200  Firmware Version V4.24A Robot Controller
870 Brooks Automation Model 4,666,36 4‐666‐36 Series No F‐0974 ID‐AWM‐D‐5‐003
871 Brooks Automation Model NO 6100‐87 PN 1085‐0007 For Matrix 105 Matrix 106
872 Brooks Equipe PRI ASYST ESC‐200 ? 12919‐002 Robot Controller AWR‐040‐AA‐9‐002
873 Brooks Equipe PRI ATM‐104‐1 ? ATM‐4456 Robot 810‐04306R 3‐AXIS 4 5 Inch AWR‐020
874 Brooks Equipe PRI ATM‐104‐1 ? ATM‐5200 Robot AWR‐035
875 Brooks Equipe PRI ATM‐104‐1 ? Brooks 6‐0002‐0048‐S Robot 3‐AXIS 4 5 Inch AWR‐022
876 Brooks Equipe PRI ATM‐104‐1 Robot  3‐AXIS 4 5 Inch AWR‐021
877 Brooks Equipe PRI ATM‐104‐1 Robot AWR‐011
878 Brooks Equipe PRI ATM‐104‐1 Robot AWR‐019
879 Brooks Equipe PRI ATM‐104‐1‐S Beooks 6‐0001‐0513‐SP  Robot AWR‐018
880 Brooks Equipe PRI ATM104‐1‐S‐CE‐S293 / ATM‐104‐1‐S‐CE‐S293 Robot AWR‐025
881 Brooks Equipe PRI ATM‐105‐1‐CE  Robot AWR‐034
882 Brooks Equipe PRI ATM‐105‐1‐CE P/N 45‐014824 Robot AWR‐012
883 Brooks Equipe PRI ATM‐105‐1‐S‐CE / ATM105‐1‐S‐CE ? ATM‐4740 Robot AWR‐027
884 Brooks Equipe PRI ATM‐105‐1‐S‐CE / ATM105‐1‐S‐CE Robot AWR‐026
885 Brooks Equipe PRI ATM‐107‐1‐S‐CE / ATM107‐1‐S‐CE ?ATM‐1965 Robot AWR‐028
886 Brooks Equipe PRI ATM—204‐1‐S‐CE ATM204‐1‐S‐CE AWR‐024
887 Brooks Equipe PRI ATM‐204‐1‐S‐CE Robot AWR‐041
888 Brooks Equipe PRI ATM‐5430 Robot 3‐AXIS AWR‐023
889 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I AWR‐036
890 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I/II AWR‐038
891 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  II AWR‐037
892 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐001
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 19 sales@semistarcorp.com
893 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐002
894 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐004
895 Brooks Equipe PRI Robot Controller ECS‐200 Rev 2.0 AWR‐040‐AA‐9‐001
896 Brooks Equipe PRI Robot Controller ECS‐200 V4.24A AWR‐040‐AA‐10‐003
897 Brooks Equipe PRI Robot Controller ESC‐212 For ATM‐100 AWR‐040‐AA‐8‐001
898 Brooks Equipe PRI Robot Controller ESC‐218BT‐S293 AWR‐040‐AA‐9‐003
899 Brooks Equipe PRI Robot Controller ESC‐218V Firmware V4.4913AV AWR‐040‐AA‐8‐002
900 Brooks PRI Equipe Technologies 2‐08‐1004 Layer 1 PN 2002‐0004 ? AWR‐039‐CC‐1‐005
901 Brounley Engineering Mode BE1113 13 Mhz RF Generator AWD‐D‐1‐5‐6‐001
902 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD‐D‐1‐5‐6‐002
903 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD‐D‐1‐5‐6‐003
904 Bryant Locking Male Base TOMA CON TRABA BASE MACHO 70615MB
905 Bryant Midget Locking Male Base ,2 Pole 3 Wire Grounding 7595MB
906 BS CSP 140X190 448 S/N:05 /04/06
907 Burr‐Brown TM25‐300HT Farco ID‐AWM‐D‐2‐2‐003
908 Burr‐Brown TM25‐300HT Farco ID‐AWM‐D‐2‐2‐003‐2
909 C&C Power INC Battery Disconnect Panel , Custom Engineered Power Products
910 C&K(6)CR A Series 2.5 A 125VAC Key
911 C04476  Window 12" Reactor Quartz Window For Branson IPC 3000, 2000, 4000 Series
912 Cable  For Thin Film Deposition Equipment
913 Cable  With Fitting For Thin Film Deposition Equipment
914 Cable , Temp,For Thin Film Deposition Equipment
915 Cable 0001‐00288‐00 For Thin Film Deposition Equipment
916 Cable 0150‐09514 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
917 Cable 1070‐0140 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
918 Cable 1070‐0181 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
919 Cable 1070‐0193 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
920 Cable 16879‐02 C Branson/IPC ? AWD‐3‐1‐8‐004
921 Cable 1766‐01 C Branson/IPC ? AWD‐3‐1‐8‐005
922 Cable 96‐0181 Rev A Z‐BOT For Gasonics Aura 3010 AWD‐D‐3‐1‐15‐004
923 Cable Branson/IPC?
924 Cable For Matrix 105 Matrix 106 Matrix 303, Matrix 403
925 Cable For Thin Film Deposition Equipment
926 Cable For Thin Film Deposition Equipment
927 Cable For Thin Film Deposition Equipment
928 Cable For Thin Film Deposition Equipment
929 Cable For Thin Film Deposition Equipment
930 Cable For Thin Film Deposition Equipment
931 Cable For Thin Film Deposition Equipment
932 Cable For Thin Film Deposition Equipment
933 Cable For Thin Film Deposition Equipment
934 Cable For Thin Film Deposition Equipment
935 Cable For Thin Film Deposition Equipment
936 Cable With Fitting For Thin Film Deposition Equipment
937 Cable With XUP‐J203135 For Thin Film Deposition Equipment
938 Cable With XUP‐J203135 For Thin Film Deposition Equipment
939 Cable/wire For Thin Film Deposition Equipment
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 20 sales@semistarcorp.com
940 Cables For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐012
941 Cajon VCR Fitting 316L‐4‐HVCR‐3AS6 Lot 4 ID‐AWS‐026
942 Cal 9900 Temperature Controller ID‐AWM‐A‐3–4‐002
943 Caleb CM1.12.500‐115 Power Supply AWM‐F‐2‐4‐005
944 Canon  Neutronix PLA501 Front & Back Aligner, 3 Wafer
945 Canon BH4‐0223‐03 BG6‐0123 PCB06M For Canon pLA‐501 F Mask Aligner
946 Canon BH4‐0224‐03 BG6‐0124 PCB07 For Canon pLA‐501 F Mask Aligner
947 Canon BH4‐0225‐03 BG6‐0125 PCB08 For Canon 501 F Mask Aligner
948 Canon BH4‐0226‐02 BG6‐0126 PCB09 For Canon 501 F Mask Aligner
949 Canon BH4‐0226‐03 BG6‐0126 PCB09 For Canon 501 F Mask Aligner
950 Canon BH4‐0227‐04 BG6‐0127 PCB10 For Canon 501 F Mask Aligner
951 Canon BH4‐0227‐04 BG6‐0127 PCB10 For Canon 501 F Mask Aligner
952 Canon BH4‐0228‐02 BG6‐0128 PCB11 For Canon pLA‐501 F Mask Aligner
953 Canon BH4‐0228‐03 BG6‐0128 PCB11 For Canon pLA‐501 F Mask Aligner
954 Canon BH4‐0310‐01 BG6‐0197 PCB For Canon pLA‐501 F Mask Aligner
955 Canon BH4‐0605‐02 BG6‐0586 PCB06F For Canon PLA‐501 F Mask Aligner
956 Canon Neutronix PLA‐545 UV Mask Aligner
957 Canon PLA‐501 F Parallel Light Mask Aligner
958 Canon PLA‐501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
959 Canon PLA‐501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
960 Cassette Holder For Gasonics Aura 2000 Lam Rainbow 4420 4428 4520 AWD‐D‐1‐5‐4
961 Cassette Station 2AG, Klk Inc For AG Asscoiates Heatpulse 8108 Intel 1set
962 CBP8‐XT LE‐1‐0 2399 REV 1.2 912000187 DIVERSIFIED RECHNOLOGY 6512000159
963 CC1‐B0‐24‐425‐34R‐D
964 CE 454‐8 Rev D VECTOR 3690‐16 PCB
965 CE 4896 IDEG21 FCC ID EUD SU9 BRI4632 61216 16 PN4632 E128381 KE AWW‐10‐2‐6‐003
966 CECOMP Electronics Model F16DA400INH2OVAC 0‐400.0 INH2OVAC Digital Pressure Gaug
967 Cecomp Falcon WMPSK Wall‐mount Power Supply Kit 115VAC/12VDC
968 Century Electronics PN DM74S473AN +B8504, in ST071 package
969 Ceramic Parts For Lam Researcher? Varian? AMAT ? ID‐AWS‐003
970 Ceramic Ring 10.4335”OD X 1.6” Thk UHV Clean For STS ICP STS PRO ICP
971 Ceramic Ring For Matrix 302 Matrix 303 Matrix 403 Plasma Etcher AWD‐D‐1‐1‐5‐002
972 Ceramic Ring Shield PN 1C7367  UHV Clean For STS ICP STS PRO ICP
973 CF6.75 to CF3.375 Adaptor zero nipple
974 CHA 2KW QT&E 1627 60 Hz 87‐21 Transformer ID‐DZ‐7‐007
975 CHA Industries Panel With Cables / Wires  For Thin Film Deposition Equipment
976 CHA Industries Thermal Evaporator System
977 CHA ION Tube Type IG100N AWD‐D‐1‐4‐4‐003
978 CHALLENGER Boyar‐Schultz , An Esterline Company, H612 Handfeed ID‐NMA‐019
979 Chamber 9995‐99629, AMU1A‐20 Trazar , 0101‐0724 For Matrix 10  Asher AWD‐D‐3‐4‐1
980 Chamber Door Assy W/ BIMBA Cylinder, A01‐173‐02 A , A05‐043‐02 AWD‐D‐2‐8‐14‐002
981 Chamber For Gasonics Aura 3010 Plasma Asher Plasma Clean AWD‐D‐2‐3‐002
982 Chamber Parts AWM‐C‐4‐1‐002
983 Chamber Parts AWM‐C‐4‐1‐003
984 Chamber Plate,mount ERP Pyrometer For Heatpulse 8108 ,8800,4108,8008
985 chamber Station Assembly, AG Associates Heatpulse 4100, Heatpulse 4100S
986 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD‐D‐2‐8‐8
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 21 sales@semistarcorp.com
987 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD‐D‐3‐1‐3‐001
988 CHARMILLES GENEVE Type 430 SN 42501 ,made In Switzerland, ID‐NMA‐004
989 Chuck  For Canon 501 F Mask Aligner?
990 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐1
991 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐2
992 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐3
993 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐4
994 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐5
995 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐6
996 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐7
997 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐8
998 Chuck 5” ? For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐3‐1
999 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐4‐2
1000 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐4‐3
1001 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐5
1002 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐6‐1
1003 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐6‐2
1004 Chuck Bottom  AWM‐C‐5‐6
1005 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐1
1006 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐2
1007 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐3
1008 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐4
1009 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐5
1010 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐6
1011 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐7
1012 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐8
1013 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐9
1014 Chuck, Part Number 42‐0298 (?) AWD‐D‐1‐1‐5‐001
1015 CHUO SEIKI Microscope Station Zoom 0.75x‐4.5x AWW‐6‐3‐012
1016 CIT‐ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD‐1‐14
1017 CIT‐ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD‐1‐15
1018 CKD DC‐24v Model AG3X
1019 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1020 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1021 Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300‐0599‐01 ,7300‐0420‐01
1022 Clippard Minimatic Lock‐in 4‐way R431 ID‐AWS‐011
1023 Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID‐AWS‐026
1024 CLTC‐4242‐SM 18108‐01‐R‐A, STK‐2503‐HV
1025 CLTC‐5975‐HV 18108‐01‐R‐A
1026 CM192
1027 CM430890 F549105F PRX Made In Japan
1028 COHU MOD 1322‐1000/0000 Camera ID‐AWW‐6‐2‐3‐003
1029 Com Card For AG Associates RTP
1030 Comdel Inc CPS‐1000‐M 13.56MHz RF Power Source For Matrix 10 Asher AWD‐D‐3‐3‐4
1031 Component Side A/N290102‐400C AWM‐B‐4‐5‐006
1032 Component Side B/N A/N290103‐200 Rev‐A AWM‐B‐4‐5‐003
1033 Compumotor M57‐40‐DO ID‐AWM‐F‐1‐001
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 22 sales@semistarcorp.com
1034 Compumotor M57‐51 ID‐AWM‐F‐1‐001
1035 Computer Dynamics ID‐AWW‐8‐2‐1‐002
1036 Connector Station For Gasonics Aura 3010 3000 Aura 2000LL? AWD‐D‐3‐1‐7‐009 2/2
1037 Control Box Signal Box
1038 Cooltech Coolant Recharge Machine Robinair Model 25200B ID ‐AWM‐A‐4‐004
1039 Copper 3 Inch Elbow ID‐AWS‐026
1040 Coppy MHY Bath 24 Liter,2 Bathes, Coppy MHY Plating Solution
1041 Corning Laboratory Stirrer Model PC‐210
1042 Corning PYREX 3160‐100BQ Dish , Culture, Petri, Bottom Only 100x10mm 8Pieces Let
1043 Corning PYREX 9985‐100 Watch Glass 7740 Glass 8 PC/ Pack
1044 Corning PYREX 9985‐150 Watch Glass 7740 Glass 7 Pieces Left
1045 Corning PYREX 9985‐65 Watch Glass 7740 Glass 5 PC/ Pack
1046 Corning PYREX Disposable Glass Pipettes 15253677
1047 Corning PYREX No 7103C TC/TD 20C  25+/‐0.3mL, 12PC Package
1048 Cotton Tip SWABS
1049 Cotton Tip SWABS SW896WC
1050 Cover For Thin Film Deposition Equipment
1051 Cover For Thin Film Deposition Equipment
1052 Cover, Cable With Sensor For Thin Film Deposition Equipment
1053 C‐PT 5WZ49 spec 169830A, 2" P1559LG, 100PSI/700KPA,1/4NPT CBM
1054 CTI‐Cryogenics 8200 Compressor AWD‐D‐3‐2‐2
1055 Cutler‐Hammer DH361UGK Power Box
1056 Cutler‐Hammer DH361UGK Power Box
1057 CVC Power Supply For Temescal FC‐1800 Evaporator DP‐4‐2
1058 CVC Products AST‐601 Vacuum Sputter Deposition System
1059 CYBEX PC‐COMPANION Plus With/without Cable 7100‐2390‐06 AG Associates Heatpulse
1060 CYBEX PC‐COMPANION Plus With/without Cable 7100‐3389‐03 AG Associates Heatpulse
1061 Cylinder 10 ML  SP Scienceware Cylinder, PP, Graduated , 10ml
1062 Cylinder 100 ML  SP Scienceware Cylinder, PP, Graduated , 100ML
1063 Cylinder 1000 ML SP Scienceware Cylinder, PP, Graduated , 1000ml
1064 Cylinder 25 ML  SP Scienceware Cylinder, PP, Graduated , 25ml
1065 Cylinder 250 ML  SP Scienceware Cylinder, PP, Graduated , 250ML
1066 Cylinder 50 ML  SP Scienceware Cylinder, PP, Graduated , 50ML
1067 Cylinder 599 ML  SP Scienceware Cylinder, PP, Graduated , 500ML
1068 Data Transfer Switch  , ATP Cal, Terminal, SECS, Robot, AG Associates Heatpulse
1069 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1070 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1071 Data Transfer Switch  , Run Model, Teach Model, AG Associates Heatpulse
1072 Data Transfer Switch  AG Associates Heatpulse
1073 DATA Transfer SWITCH A B Run Model Teach Model AG Associates
1074 DCA F‐35‐1‐25‐0 Simpson Electric ID ‐AWM‐F‐1‐028
1075 DCA F‐45‐1‐25‐0 Simpson Electric ID ‐AWM‐F‐1‐027
1076 DCA Simpson Electric ID ‐AWM‐F‐1‐028
1077 DE10002A208V Softswitching Used With SST Same Model ID‐AWM‐D‐2‐2‐002
1078 Digital Equipment Corp 50‐19588‐01 A01 T 11292 , 54‐19589‐01 AWW‐10‐2‐6‐005
1079 Disco DFL 7160 Laser Saw
1080 Display Item CD 1011 ‐00301 With 99‐125‐004 /008 Tegal PCB AWM‐G‐5‐1‐011
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 23 sales@semistarcorp.com
1081 Dodge / Scan Estimator 24 Mark V Projection ID‐NMA‐024
1082 Door With Cylinder For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐5‐003
1083 DT326005 MOD3 Uniformity Shield 75mm UHV Clean For STS ICP STS PRO ICP
1084 DT326005 Uniformity Shield 50mm UHV Clean For STS ICP STS PRO ICP
1085 DUNIWAY KF16 Flange 1/8" KF16‐1/8
1086 DUNIWAY KF25 Flange KF25‐EL90
1087 DUNIWAY KF25 Flange, 1.00" KF25‐100 Socket Weld
1088 DUNIWAY KF40 Flange 1.00" KF40‐100
1089 DUNIWAY KF40 Flange KF40‐TE
1090 DUNIWAY KF40 Flange, Flex Coupling, 20" Long, KF40‐FX20
1091 Duniway Metal Clamp ID‐AWS‐022
1092 Duniway Metal Clamp LF160‐DCC LF200‐DCC ID‐AWS‐023
1093 Duniway Metal Clamp LF160‐SCC LF200‐SCC ID‐AWS‐023
1094 Duniway Stockroom Corp GD‐IGH‐10‐843 Bakeable Ion Gauge Cable For GP 270,271,280
1095 Duniway Stockroom Corp I‐100‐K Tungsten, 1” Kovar, Glass Ion Gauge Tube, New
1096 Duniway Stockroom Corp KF40‐BCP
1097 Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra‐809
1098 Duniway Stockroom I‐075‐K Glass Ion Gauge AWD‐D‐1‐4‐4‐007
1099 Duniway Stockroom T‐075‐P Glass Ion Tube AWD‐D‐1‐4‐4‐005
1100 Duniway Stockroom Thermocouple Vacuum Gauge Type 0531 TC Vacuum Gauge
1101 Dwyer VFC Series 690 Kpa 100 Psi ID‐AWS‐025
1102 Dynatex International DX‐III Scriber Breaker ID‐AWS‐7‐5
1103 E 113283 SPST Kobold Type 41R57 , 52‐62 And 60‐65
1104 E Assy 113261 Rev C Fab 113260c Teltec 01V 1591 21010003
1105 E Chuck 2 Inch For STS ICP STS PRO ICP
1106 E_T.N M4032 Assy No 1519540 B 1419540 PCB AWM‐B‐4‐4‐007
1107 E2K‐C25MF1 Capacitive Proximity Switch Made In Japan
1108 EATON 7196‐0018‐0001 SST Tube Connector
1109 Ebara Control Panel T496680 Rate 2 DC24v ID‐AWS‐K‐2‐003
1110 Edwards   QDP 40 ? 80? With Blower 1250? AWR‐030
1111 EDWARDS A590‐00‐945 IH80‐MK5 200‐208V 50 ‐60HZ
1112 Edwards Dry‐Star Controller S1400 D37201000 ID ‐AWM‐A‐5‐005
1113 Edwards High Vacuum Pump E2M40FSPX SN 3804
1114 Edwards IQDP40 Dry Pump
1115 Edwards Mechanical Booster Model EH250 For Vacuum Pump AWD‐D‐1‐2‐002
1116 Edwards Model 655 AB 100 Millitorr Pressure Transducer W655‐16‐611 ID‐AWS‐019
1117 Edwards Type Code A528‐06‐000 QDP Exhaust Pressure Module ID‐AWM‐D‐2‐3‐001
1118 EG 1034 Wafer Probe Option D AWW‐9‐2‐6‐6
1119 EG 2001 Probe Wafer Chuck
1120 EICO Job #1321 Cypress/Mospro Motherboard R3 W/ Adaptor For HP4085B? AWW‐6‐3‐011
1121 EISPAN 5626 A Label 23‐0560 SPT200 Series
1122 EISPAN 5626 A Label 23‐0560 SPT200 Series
1123 ELB Grinders Corp Type SPA 2040‐ND , No: 16847058 , SCHLIFF ID‐NMA‐005
1124 ELB Grinders Corp Type SWBE 010NPC‐K , No: 209030489, SCHLIFF ID‐NMA‐006
1125 Electro Craft Servo Products E‐652‐0 9092‐0004 AMPL ID‐AWM‐D‐1‐005
1126 Electro Powerpacs Model 1113  Vickers Instruments AWM‐F‐2‐1‐003
1127 Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM‐F‐2‐1‐002
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 24 sales@semistarcorp.com
1128 Electro‐craft Servo Products Model E‐652‐0 Part No 9092‐0004 AMP AWM‐F‐2‐2‐001
1129 ElectroGlas 05024036 244273‐001 H/ CRT Conteoller Assy 244274‐001 AWW‐10‐2‐6‐020
1130 Electroglas 2001X Inker / Probe Station with Navitar‐ Motorized Zoom Control Box
1131 Electroglas 2001X Wafer Probe
1132 Electroglas 2001X Wafer Probe
1133 Electroglas 2001X Wafer Probe
1134 Electroglas 2001X Wafer Prober
1135 ElectroGlas 28V Solenoid Drivers Assy 114824‐001 Rev A WP86041959 AWW‐10‐2‐7‐001
1136 Electroglas 4090u+ Wafer Probe
1137 ElectroGlas A1 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐007
1138 ElectroGlas A1 CPU 020 Assy 251411‐002 REV E FAB 251410‐001 A AWW‐10‐2‐7‐008
1139 ElectroGlas A1 CPU 020 Assy 251411‐002 Rev E FAB 251410‐001 B AWW‐10‐2‐7‐016
1140 ElectroGlas A1 Memory BD Assy 244842‐001 Rev B FAB 244841‐001 A AWW‐10‐2‐7‐011
1141 ElectroGlas A1 Power DAR Assy 244425‐001 Rev L AWW‐10‐2‐16‐001
1142 ElectroGlas A1 System Memory Assy 248981‐001 C FAB 248980‐001!A AWW‐10‐2‐7‐019
1143 ElectroGlas A1 System Memory Assy 248981‐001 Rev A FAB 248980‐001 AWW‐10‐2‐7‐012
1144 ElectroGlas A1 System Memory Assy 248981‐002 C R? FAB 248980‐001 AWW‐10‐2‐7‐010
1145 ElectroGlas A1 System Memory Assy 248981‐002 Rev C FAB 248980‐001 AWW‐10‐2‐7‐013
1146 ElectroGlas A2 X80112234 MET/ENG DAR 10220008, 100863B/100863J AWW‐10‐2‐6‐014
1147 ElectroGlas A3 CPU BD Assy 244888‐001 C FAB 244889‐001 A 21037001 AWW‐10‐2‐7‐009
1148 ElectroGlas A3 CPU BD Assy 244888‐001 Rev K FAB 244889‐001 Rev C AWW‐10‐2‐7‐014
1149 ElectroGlas A3 CPU BD Assy 244888‐001 Rev L FAB 224889‐001 C AWW‐10‐2‐7‐018
1150 ElectroGlas A3 X80101206 MET/ENG DAR RON1685 100863B/100863J AWW‐10‐2‐6‐013
1151 ElectroGlas A4 Assy 100254‐E Teltec X80061992 FAB 100253 Rev C AWW‐10‐2‐6‐012
1152 ElectroGlas A4 Assy 244288‐001 ,21031002, 244287 Rev D AWW‐10‐2‐5‐006
1153 ElectroGlas A4 Tester Interface 244288‐001 Rev A A 21010039 AWW‐10‐2‐6‐018
1154 ElectroGlas A4 Tester Interface 244288‐001 Rev A D 21009086 AWW‐10‐2‐6‐017
1155 ElectroGlas A4 Tester Interface 244288‐001 Rev A D 21012056 AWW‐10‐2‐6‐016
1156 ElectroGlas A5 Theta Z Function II  Assy 245023‐001 Rev F AWW‐10‐2‐5‐005
1157 ElectroGlas A5 Theta Z Function II Assy 245023‐001 K AWW‐10‐2‐13‐008
1158 ElectroGlas A5 Theta Z Function II Assy 245023‐001 k AWW‐10‐2‐13‐010
1159 ElectroGlas A5 Theta Z Function II Assy 245023‐001 M AWW‐10‐2‐13‐009
1160 ElectroGlas A5 Theta Z Function II Assy 245023‐001 R AWW‐10‐2‐13‐007
1161 ElectroGlas A5 Theta Z Function II Assy 245023‐001 Rev D AWW‐10‐2‐13‐004
1162 ElectroGlas A5 Theta Z Function II Assy 245023‐001 Rev H AWW‐10‐2‐13‐002
1163 ElectroGlas A5 Theta Z Function II Assy 250262 AWW‐10‐2‐13‐005
1164 ElectroGlas A5 Theta Z Function II Assy 250262‐001 Rev D AWW‐10‐2‐13‐003
1165 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW‐10‐2‐13‐011
1166 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW‐10‐2‐13‐012
1167 ElectroGlas A5 Theta Z Joystick Assy 250262 H AWW‐10‐2‐13‐006
1168 ElectroGlas A6 Material Handling Logic Assy XA6A 244636‐002 H AWW‐10‐2‐13‐001
1169 ElectroGlas A8 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐006
1170 ElectroGlas A8 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐017
1171 ElectroGlas Assy 100257 FAB 100256 X9121653 Extension Board ? AWW‐10‐2‐16‐002
1172 ElectroGlas Assy 250262, P95197 THETA Z JOYSTICK AWW‐10‐2‐6‐015
1173 ElectroGlas CRT Conteoller Assy 947271 TB008 FAB 947272 AWW‐10‐2‐6‐021
1174 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐4‐006
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 25 sales@semistarcorp.com
1175 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐5‐010
1176 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐5‐011
1177 ElectroGlas EG 1034 PC Upgrade Board  AW‐1034‐001 AWW‐10‐2‐14
1178 ElectroGlas EG 1034 PC Upgrade kit AWW‐10‐2‐10
1179 Electroglas EG 1034 Prober
1180 ElectroGlas EG 1034 Upgrade Board 21‐0004‐1034‐003’AW‐1034‐003 Ver E AWW‐10‐2‐12
1181 ElectroGlas EG 1034 Upgrade Board S2100‐1034‐005 AW‐1034‐005 Ver 9.0 AWW‐10‐2‐11
1182 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐8
1183 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐9‐1
1184 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐9‐2
1185 ElectroGlas EG 2001 EG2010 PSM‐C Power Supply Module  AWW‐10‐4‐4
1186 ElectroGlas EG 2001 Wager Probe Disk Driver AWW‐10‐3‐7
1187 ElectroGlas EXTENDER Assy 100024  ,X603373, FAB 100023 Rev b AWW‐10‐2‐6‐027
1188 ElectroGlas EXTENDER Assy 100028 , X80041041 , FAB 100027 Rev b AWW‐10‐2‐6‐025
1189 ElectroGlas EXTENDER Assy 100028 , X80102172, FAB 100027 Rev b AWW‐10‐2‐6‐024
1190 ElectroGlas EXTENDER Assy 100028 , X82042939, FAB 100027 Rev b AWW‐10‐2‐6‐023
1191 ElectroGlas EXTENDER Assy 100257 , 11180471, FAB 100256 Rev b AWW‐10‐2‐6‐026
1192 ElectroGlas Keyboard Assy 244294‐001 D , 21033016, WP9050620 AWW‐10‐2‐6‐022
1193 ElectroGlas Memory BD Assy 244842‐xxx FAB 244841‐001 A Teltec AWW‐10‐2‐7‐015
1194 Electroglas Model 3001X EG 3001X Wafer Probe
1195 Electroglas Model 3001X EG 3001X Wafer Probe
1196 ElectroGlas PL TEMP LOGIC MUX Assy 246713‐001 Rev K  AWW‐10‐2‐6‐019
1197 ElectroGlas PRE‐Align Driver Assy 244639‐002 Rev K FAB 244638‐001 AWW‐10‐2‐7‐003
1198 ElectroGlas Theta Z Inker Deivers Assy 244736‐001 Rev F AWW‐10‐2‐7‐002
1199 ElectroGlas Theta Z Inker Drive Controller II Assy 948775 AWW‐10‐2‐7‐004
1200 ElectroGlas Theta Z Inker Drive Controller II Assy 948775‐002 E AWW‐10‐2‐7‐005
1201 ElectroGlas Wafer Probe 100936 Assy C 100935 FAB, AWW‐10‐2‐5‐008
1202 ElectroGlas Wafer Probe X80092111 100936 Assy E 100935 FAB, AWW‐10‐2‐5‐009
1203 ElectroGlas Wafer Sense Interface Assy 247204‐001 Rev E AWW‐10‐2‐6‐008
1204 Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
1205 Electrothermal Cat No 228 Power Regulator AWM‐F‐2‐1‐005
1206 ELOGRAPHICS 170020 , ASSM 002201
1207 ELOGRAPHICS Inc E271‐2201 PCB P/N 170020 Rev B ,Assm P/N 002201 A AWW‐10‐2‐6‐010
1208 ELOGRAPHICS INC PCB P/N 170020 REV B ASSM PN 002201
1209 ENI Model VL‐400M1 Phase Shift Controller For STS ICP Equipment
1210 ENI OEM‐25 RF Generator  Solid State Power Generator DP‐4‐1
1211 Enterprise Systems 10764‐UMC PN 706015 A/W 900178 AWD‐D‐1‐1‐7‐010
1212 Equipe Pre‐Aligner I/O Control Board Ver 2C 2002‐0066 2008‐1026 AWR‐039‐CC‐6‐001
1213 Equipe PRI ATM‐104 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐013
1214 Equipe PRI ATM‐104‐1 ?ATM‐4394 For AG  Associates Heatpulse 8108 Robot AWR‐017
1215 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐014
1216 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐015
1217 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐016
1218 Equipe PRI Brooks Automation Robot ATM‐105
1219 Equipe PRI Brooks Controller Module AWR‐039‐BB‐3‐2‐001
1220 Equipe PRI Brooks Controller Module AWR‐039‐BB‐3‐2‐002
1221 Equipe PRI Robot Controller ECS‐100 4100‐0068‐019 AG Associates ID‐HG‐5‐001/2/3
w
w
w
.sem
istarcorp.com
Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com
Contact us for the availability of the items.Appreicate your time! 26 sales@semistarcorp.com
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020
Semistar semiconductor equipment parts inventory may 2020

More Related Content

What's hot

Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...
Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...
Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...fjjsekmsmmes
 
Inertia dynamics pb825fhd_specsheet
Inertia dynamics pb825fhd_specsheetInertia dynamics pb825fhd_specsheet
Inertia dynamics pb825fhd_specsheetElectromate
 
Inertia dynamics sf825bhd_specsheet
Inertia dynamics sf825bhd_specsheetInertia dynamics sf825bhd_specsheet
Inertia dynamics sf825bhd_specsheetElectromate
 
Inertia dynamics sf1000fhd_specsheet
Inertia dynamics sf1000fhd_specsheetInertia dynamics sf1000fhd_specsheet
Inertia dynamics sf1000fhd_specsheetElectromate
 
John deere 9450 self-p rolled combine parts catalog
John deere 9450 self-p rolled combine parts catalogJohn deere 9450 self-p rolled combine parts catalog
John deere 9450 self-p rolled combine parts catalogPartCatalogs Net
 
Inertia dynamics wrapspring_dcb8s_specsheet
Inertia dynamics wrapspring_dcb8s_specsheetInertia dynamics wrapspring_dcb8s_specsheet
Inertia dynamics wrapspring_dcb8s_specsheetElectromate
 
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...ufjjjsekkkem
 
top252 -top262 authelectroni.com
top252 -top262 authelectroni.comtop252 -top262 authelectroni.com
top252 -top262 authelectroni.comAUTHELECTRONIC
 
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...ujjfkskeksmem
 
Inertia dynamics sfc1525f_specsheet
Inertia dynamics sfc1525f_specsheetInertia dynamics sfc1525f_specsheet
Inertia dynamics sfc1525f_specsheetElectromate
 

What's hot (12)

Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...
Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...
Caterpillar cat 323 d l excavator (prefix l5z) service repair manual (l5z0000...
 
Inertia dynamics pb825fhd_specsheet
Inertia dynamics pb825fhd_specsheetInertia dynamics pb825fhd_specsheet
Inertia dynamics pb825fhd_specsheet
 
Inertia dynamics sf825bhd_specsheet
Inertia dynamics sf825bhd_specsheetInertia dynamics sf825bhd_specsheet
Inertia dynamics sf825bhd_specsheet
 
Inertia dynamics sf1000fhd_specsheet
Inertia dynamics sf1000fhd_specsheetInertia dynamics sf1000fhd_specsheet
Inertia dynamics sf1000fhd_specsheet
 
John deere 9450 self-p rolled combine parts catalog
John deere 9450 self-p rolled combine parts catalogJohn deere 9450 self-p rolled combine parts catalog
John deere 9450 self-p rolled combine parts catalog
 
Inertia dynamics wrapspring_dcb8s_specsheet
Inertia dynamics wrapspring_dcb8s_specsheetInertia dynamics wrapspring_dcb8s_specsheet
Inertia dynamics wrapspring_dcb8s_specsheet
 
1250REOZM_8_12b
1250REOZM_8_12b1250REOZM_8_12b
1250REOZM_8_12b
 
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...
Mc cormick fxl techno tier 3 (2008 2009) - rp54 - f 65 ge tractor service rep...
 
top252 -top262 authelectroni.com
top252 -top262 authelectroni.comtop252 -top262 authelectroni.com
top252 -top262 authelectroni.com
 
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...
Caterpillar cat 422 e backhoe loader (prefix dsk) service repair manual (dsk0...
 
Transformer guide
Transformer guideTransformer guide
Transformer guide
 
Inertia dynamics sfc1525f_specsheet
Inertia dynamics sfc1525f_specsheetInertia dynamics sfc1525f_specsheet
Inertia dynamics sfc1525f_specsheet
 

Similar to Semistar semiconductor equipment parts inventory may 2020

Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Emily Tan
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Emily Tan
 
Semiconductor equipment parts in stock march 2020 part1
Semiconductor equipment parts in stock march 2020   part1Semiconductor equipment parts in stock march 2020   part1
Semiconductor equipment parts in stock march 2020 part1Emily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...ufjjdjkksekmd
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...fiskefjskemem
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...fhsejkdkmen
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...fusjejfskekem
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...hfjsejdkemmn
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...fisjejfskemmd
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...fusjejfksemem
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...ufjjsekkdmmd
 
Inertia dynamics pc1225b_specsheet
Inertia dynamics pc1225b_specsheetInertia dynamics pc1225b_specsheet
Inertia dynamics pc1225b_specsheetElectromate
 
03 - Control Relays & Timer - Fuji Electric
03 - Control Relays & Timer - Fuji Electric03 - Control Relays & Timer - Fuji Electric
03 - Control Relays & Timer - Fuji ElectricCTY TNHH HẠO PHƯƠNG
 

Similar to Semistar semiconductor equipment parts inventory may 2020 (20)

Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Semiconductor equipment parts in stock march 2020 part1
Semiconductor equipment parts in stock march 2020   part1Semiconductor equipment parts in stock march 2020   part1
Semiconductor equipment parts in stock march 2020 part1
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
EE-R1.pdf
EE-R1.pdfEE-R1.pdf
EE-R1.pdf
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202C
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 - v75 tracto...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
 
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
Mc cormick v series cab tier 2 new generation (2005 2007) - rp03 -v85 tractor...
 
Contactor lc1 f schneider
Contactor lc1 f schneiderContactor lc1 f schneider
Contactor lc1 f schneider
 
Inertia dynamics pc1225b_specsheet
Inertia dynamics pc1225b_specsheetInertia dynamics pc1225b_specsheet
Inertia dynamics pc1225b_specsheet
 
03 - Control Relays & Timer - Fuji Electric
03 - Control Relays & Timer - Fuji Electric03 - Control Relays & Timer - Fuji Electric
03 - Control Relays & Timer - Fuji Electric
 

More from Emily Tan

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceEmily Tan
 

More from Emily Tan (20)

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 

Recently uploaded

costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 

Recently uploaded (20)

costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 

Semistar semiconductor equipment parts inventory may 2020

  • 1. Item Description 1 001‐0084‐01 REV B SN 1123 AND 4279 2 0101‐1001 /101‐1001 / 1000‐1001 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐001 3 0101‐1210 ? 0101‐1013 For Matrix 10 Plasma Asher AWD‐D‐3‐4‐2‐001 4 0150‐0026‐0300 Pump Assy TE‐5C‐MD 1Ph Marchpump Baldor Reliance Industry Motor 5 01‐5533 Rev 2 chamber Plate 6 023‐0103 101‐0448 ? AC Power Discussion For Matrix Asher Etcher AWD‐D‐2‐11‐007 7 023‐0103 AC Power Discussion For Matrix Asher Etcher Systems AWD‐D‐2‐11‐005 8 023‐0103 AC Power Discussion For Matrix Asher Etcher Systems AWD‐D‐2‐11‐006 9 023‐0103? 101‐0448 AC Power Dis For Matrix Asher Etcher Systems AWD‐D‐2‐11‐018 10 03385 KRPA14AG‐12V ASSEMBLED In Mexico Potter & Brumfield Control Components 11 053‐0020 Chamber ,Branson/IPC L3200 Gasonics 12 054‐0009‐01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher 13 055‐0032‐01: Pump Adapter Seal ,for Branson IPC Plasma asher 14 0641‐0253‐01 With 7310‐1058‐01 For AG Associates Heatpulse ID‐AWS‐016 15 0641‐0254‐01 With 7310‐1059‐01 For AG Associates Heatpulse ID‐AWS‐016 16 0641‐0386‐01 For AG Asscoiates Heatpulse 17 0641‐0386‐01 retro kit, clg sta sen, 0500‐0220‐01 18 0641‐0796‐02 &7310‐4730‐01 A , 0710‐0425‐03 For AG Associates Heatpulse 19 0641‐0796‐02 KIT,FLOWER / FLOWSW RETRP 20 0641‐0826‐01 (7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ) Pyrometer Parts AG 21 0641‐0829‐01) 7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ?) Pyrometer. DCP Rework 22 0641‐0830‐01(7310‐2423‐01 7100‐5628‐01 7310‐4666‐01 ?) Pyrometer Parts AG 23 0641‐0941‐01 With 7100‐5992‐01 Etc For AG Associates Heatpulse ID‐AWS‐016 24 06764‐001, A90‐005‐06 C , A90‐014‐01 B ,A90‐030‐01 C PCBs AWD‐D‐2‐8‐3‐001 25 06764‐001, A90‐2608 A, A90‐2607 B ,A90‐2609 A PCBs AWD‐D‐3‐1‐1‐001 26 08244017 ? 21036018 244287‐001 E / 244288‐001 M Ester Interface AWW‐10‐2‐4‐014 27 09.2‐0475 Bellow For Thin Film Deposition Equipment 28 0992‐40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma 29 0992‐60477 Rev B Robot Arm Effector Matrix 30 0992‐70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206 31 0995‐10512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206 32 09A208 Transistdc 2N4233A 33 10 Inch Shield Panel  ID‐AWS‐011 34 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐003 35 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐016 36 101‐0049 DC Power Distribution For Matrix Asher Etcher Systems AWD‐D‐2‐11‐017 37 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐012 38 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐013 39 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐014 40 101‐0178 AC Controller Assy 101‐0446 For Matrix Asher Etcher AWD‐D‐2‐11‐015 41 101‐0178 AC Controller Assy For Matrix Asher Etcher Systems AWD‐D‐2‐11‐001 42 101‐0178 AC Controller Assy For Matrix Asher Etcher Systems AWD‐D‐2‐11‐011 43 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐008 44 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐009 45 101‐0461 ? System Main Controller For Matrix Asher Etcher AWD‐D‐2‐11‐010 46 101‐0461 ? System Main Controller For Matrix Asher Etcher Systems AWD‐D‐2‐11‐004 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 1 sales@semistarcorp.com
  • 2. 47 101‐0461 System Main Controller For Matrix Asher Etcher Systems AWD‐D‐2‐11‐002 48 101‐0500‐VM 5V Valve 49 101‐0999 /0101‐0999 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐002 50 101‐1000 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐003 51 101‐1000 For Matrix 10 Plasma Asher AWD‐D‐2‐9‐004 52 1116‐40601 Tegal 1513e Assembly Lower Electrode Spare Part 53 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP 54 12 Inch  Si Wafer With 4 Inch Pocket 55 12 Inch  Si Wafer With 8 Inch Pocket 56 12 Inch  Si Wafer With 8 Inch Pocket 57 12 Inch Cassette Box 58 12 Inch Wafer With 1 Of  6 Inch Pocket 59 12 Inch Wafer With 13 Of 1.25x1.25 Inch Pockets 60 12 Inch Wafer With 2.25x3.25 Inch Pocket 61 12 Inch Wafer With 3 Of 2 Inch Pocket 62 12 Inch Wafer With 3 Of 4 Inch Pocket 63 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25x1.25 Inch Pocket 64 12 Inch Wafer With 5 Of 2 Inch Pocket 65 12 Inch Wafer With 7 Of Holes 66 12 Inch Wafer With 9 Of 2 Inch Pocket 67 125ml 4oz HDPE 68 126‐310‐010 Multipin Electrical Feedthrough Perkin‐Elmer 69 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MA‐CB3622 AWD‐D‐1–1‐4‐001 70 13794‐01 Branson IPC VIDEO, PWB 13793‐01 71 13842‐01 APPLIED MICRO TECHNOLOGY 72 13952‐01 A For  Branson/IPC  Asher (?) ID‐AWS‐k‐5‐1‐006 73 14679‐01 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES 74 14908‐001 , MITCHELL ELECTRONICS, 00200‐AD01 , 00200‐0001 75 14inch 4430 RF Diode Backing Plate w SiO2 target 76 14inch Moly Pallet no pocket 77 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target 78 14inch Perkin‐Elmer 4430 Moly Pallet 12x3inch pockets 79 15‐00729‐00 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus 80 156x156mm Cassette 81 15988‐01 A Branson IPC Temperature Input 82 1666G2Y Micro HOKE. MITE Regulator Valves‐5 83 16x2 Inch  Susceptor, SiC , Base And Cover 84 17‐041114‐00 A RA8000 2796  For Matrix 10 Plasma Asher AWD‐D‐3‐4‐2‐002 85 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐001 86 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐006 87 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐007 88 17317‐01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐008 89 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐003 90 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐004 91 17317‐01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐005 92 17317‐01D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD‐D‐2‐12‐002 93 17351‐01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐003 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 2 sales@semistarcorp.com
  • 3. 94 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐001 95 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐002 96 17382‐01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD‐D‐3‐1‐14‐003 97 17461‐01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐002 98 17542‐01 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD‐D‐3‐1‐7‐001 99 1E‐011 Tegal Spare Part, Bellow 100 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi? 101 2 Inch Wafer, Thin, 50‐100um? Material Si? InP? 102 2000‐0013‐01 AG Associates Heatpulse PCB 103 2000‐0013‐03 AG Associates Heatpulse PCB 104 2100‐0006‐01 AG Associates Heatpulse PCB Rev A.5 105 2100‐0006‐02 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850 106 2100‐0007‐1000‐002 AW‐Etch‐1000‐002 PCB AWM‐E‐5‐2‐008 107 2100‐0008‐1000‐016 pcb 108 2100‐0022‐01 AG Associates Heatpulse PCB 109 2100‐0031‐01 AG Associates Heatpulse PCB 110 2100‐0032‐01 AG Associates Heatpulse PCB 111 2100‐0060 AG Associates Heatpulse PCB 2100‐0060‐02 ZiaTech ZT8812 112 2100‐0110 AG Associates Heatpulse PCB 113 2100‐0120 MIO‐24 AG Associates Heatpulse PCB 114 2100‐0150 AG Associates Heatpulse PCB 115 2100‐0160 AG Associates Heatpulse PCB 116 2100‐0170‐01 AG Associates Heatpulse PCB 117 2100‐0190 ROCK‐CADAC 3V‐0 000226‐F 118 2100‐0212 ‐01 AG Associates Heatpulse PCB 119 2100‐0241‐01 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 2100‐0241‐009 120 2100‐0243‐01 AG Associates Heatpulse PCB DASB‐FGA 14139 REV B PC7462 121 2100‐0250 AG Associates Heatpulse PCB 122 2100‐0251‐01 AG Associates Heatpulse PCB 123 2100‐0253‐01 AG Associates Heatpulse PCB 124 2100‐0253‐02 AG Associates Heatpulse PCB 125 2100‐0307‐04 AG Associates Heatpulse PCB 126 2105‐220251‐12(214) CAP Quartz ROHM Device 127 2105‐220252‐12(214) ? Pedestal Base, Quartz Base ROHM Device 128 2105‐220252‐12(214) Pedestal Base, Quartz Base ROHM Device 129 2105‐220252‐12(214) Pedestal Base, Quartz Base ROHM Device 130 2105‐220254‐12(214) Cap Temp Check  ROHM Device 131 2105‐320027‐12 Rev 0 Rev 12‐214Quartz Shutter ROHM Device 132 2105‐420025‐12 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation 133 2105‐420045‐12 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation 134 2105‐420054‐12 Rev NA Quartzware Quartz Part Rohm Corporation 135 2105‐420128‐12 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation 136 2105‐420294‐11 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation 137 21‐2402 SL5410SM 2PW FV4 FV4 APTech Regulated Valves 138 2‐440‐GS40 O Ring G‐B33SOL 0384164 139 2502‐0040 For AG Associates Heatpulse 140 2506491‐21 / 2506‐491‐21 / 2506‐491‐01rev A PCB Interconnection Gas Mat810‐03421 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 3 sales@semistarcorp.com
  • 4. 141 2601‐0003‐01 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000 142 26‐12000‐05 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves 143 281‐7310 Perkin Elmer Ultek LN2 Feedthrough 144 2900‐4015‐01 CONTROLLER, MULTI‐L 145 2908‐0041‐01 Meter, 1/2?, BRASS,0.5‐4GPM,H2O 146 2908‐0060‐01 Meter H2O Flow 3‐6 GPM KOBOLD SMW‐7117‐B For AG Asscoiates Heat 147 2oz Clear Glass Black Plastic Lids 12 Pack X000WUM5UH 148 2oz Clear Glass White Plastic Lids 149 2S700‐601‐(2S003‐017) Japan With STK6722H AWM‐B‐4‐5‐008 150 3 Inch InP Wafer 151 3 Inch Susceptor Wafer Carrier  MPT RTP‐600s RTP‐800s AG Associates AG 610 152 3 Inch Susceptor Wafer Carrier For MPT RTP‐600s RTP‐800s AG Associates AG 610 153 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company 154 302‐031‐03 23017‐6 PCB 155 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009? 156 33‐295‐001 A Tegal Spare Part 157 3700‐0110‐01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply 158 39‐535‐003 Tegal Spare Part 159 39‐562‐001 Tegal Spare Part 160 39‐793‐001 Tegal Top Electrode Raines Technologies 161 4 Inch Metal Cassette 162 4 Inch Metal Cassette 163 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process 164 4 Inch Si Wafer Carrier With 2 Inch Pocket 165 4 Inch Si Wafer With 3 Inch Pocket With Flat 166 4 Inch Teflon Cassette PFA EMPAK X7100‐01 PFA Wafer Cassette 167 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39M PFA Wafer Cassette 168 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39MLBH  LZ Wafer Cassette 169 4 Inch Teflon Cassette PFA FLUOROWARE AI82‐39MLBY PFA Wafer Cassette 170 4 Inch Wafer Handle 171 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP‐600s RTP‐800s AG Associates AG 610 172 400 Series AIRCO Regulated Valves With Marsh Meters Gauges 173 4000‐1044‐06 Lamp PWR CNTL 174 4000‐1044‐07 Lamp Driver 208VAC 175 4000‐1044‐07 Lamp Driver 400VAC 176 4004‐0004‐01 Model WI40‐371‐00/xx,xp Converter Concepts Inc 177 4004‐0020 Condor MPS‐140W Power Supply AG Associates Heatpulse RTP 178 4004‐0050 AG Associates  Power One Model HN12‐5.1‐A Power Supply 179 4004‐0060 (AG Associates )Power One HDD15‐5‐A Power Supply 71269‐04 Gasonics ? 180 4‐01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS 181 40‐215‐001 Tegal Spare Part 182 40‐329‐002  Tegal Spare Part 183 403‐E1IS SCR AG Associates Heatpulse Chips 184 4050‐1016‐01  AG Associates Heatpulse TSCRN, CUSTOM 185 4050‐1023‐01  AG Associates Heatpulse TSCRN MON, STAND ALONE 186 4100‐0017‐11 Pura‐LITE Barnsread A110B For AG Asscoiates Heatpulse 187 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐3‐002 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 4 sales@semistarcorp.com
  • 5. 188 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐4‐001 189 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐7‐4‐001 190 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID‐AWW‐6‐3‐001 191 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges 192 42A13DCH2AA025 MKS Baratron Pressure Switch  1000 Torr‐G 193 4501‐0006‐01 LC1‐FF43‐JV‐24/60 AQO 105 AMP 3 Pole Contactor ID‐AWM‐D‐5‐001 194 4502‐9041‐01 VALVE, DIAPH, QTR TURN 195 4502‐9042‐01 VALVE, DIAPH 196 4502‐9043‐01 Aptach Valve 197 4855 Digital Beam Control Interface Fisons Kevex Instrumentals ID‐AWM‐D‐1‐007 198 48600136 4 88,42700383 4 88,42800891,48600133,427‐00384 VERIFLO 199 48V Control Board Assy 06763‐005 Rev3 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐010 200 4Z(A)‐PR4‐VT‐SS Parker Valve 201 5 Cassette Entegris X9125‐0407 Wafer Cassette 202 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MB Wafer Cassette 203 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MBH PFA Wafer Cassette 204 5 Inch Teflon Cassette PFA FLUOROWARE AI82‐50MU Wafer Cassette 205 500‐B4 AM27C512‐205 DC 039UADC AMD AG Associates Heatpulse Chips 206 50590‐01 ISS 1 SN 18026 TEGAL PCB 207 5102‐0023‐01 Metron Technology AG Associates Heatpulse 208 5108‐1059‐01 flow switch, 1450 psi 209 538491‐120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM 210 5990‐0147‐0001 A 7188‐0194‐0001 Spacer PCB AWM‐B‐4‐4‐005 211 5990‐0147‐0001 A PCB AWM‐B‐4‐4‐006 212 5B16I2L5 AG Associates Heatpulse Chips 213 6 Inch Cassette And Box (1set) 214 6 Inch Si Wafer Carrier With 2 Inch Pocket 215 6 Inch Si Wafer Carrier With 4 Inch Pocket 216 6 Inch Teflon Cassette PFA EMPAK 3150‐14  PFA Wafer Cassette 217 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MB PFA Wafer Cassette 218 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MBH PFA Wafer Cassette 219 6 Inch Teflon Cassette PFA FLUOROWARE AI82‐60MU PFA Wafer Cassette 220 6.5 Inch Disk , Graphite With SiC Coating 221 6.75 Inch Disc, Cover, Silica With SiC Coated 222 60 Mm Chart ES‐001 Made In Japan  5 Boxes In A Package ID‐AWS‐L‐1‐2‐001 223 600‐AKV‐L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083 224 6149‐2293‐624 No 2293624 UM 45‐D15SUB/S/ZFKDS Ch. No 3005804625 ID‐DW‐6‐4‐2‐004 225 6149‐2293‐637 No 2293637 UM 45‐D25SUB/S/ZFKDS Ch. No 3005815597  ID‐DW‐6‐4‐2‐005 226 6338‐2884‐0 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment 227 6338‐2886‐0 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment 228 64‐2560TRN20 30 PSIG Max Tescom Regulated Valve Tescom 229 680‐0146‐021 Perkin Elmer LH TM11‐E1501/230CV Power Supply ID‐AWM‐D‐2‐5‐004 230 680‐0146‐021 Perkin‐Elmer Custom Rectifier LH Research Model TM11‐E1501/230CV 231 6L‐M1V2858‐II NUPRO Valve 232 6LV‐DLBW4 NUPRO Regulator Valve Gas Line SST Tube 233 7100‐00874‐11 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer 234 7100‐0474‐01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 5 sales@semistarcorp.com
  • 6. 235 7100‐0606‐01 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates 236 7100‐0874‐03 Rev H AG Associates Heatpulse RTP ERP Pyrometer 237 7100‐0874‐05 Associates Heatpulse RTP ERP Pyrometer 238 7100‐0874‐09 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer 239 7100‐1091‐01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse 240 7100‐1134‐03 Pyrometer AG Associates Heatpulse (7100‐1282‐03 7100‐5628‐01) 241 7100‐1134‐06 Pyrometer AG Associates Heatpulse 8108, 8800, 4108, 4100, 4100S 242 7100‐1182‐07 AG Associates Heatpulse 8108 ERP Pyrometer 243 7100‐1213‐04 DCP Pyrometer 7100‐1134‐059 Pyrometer AG Associates Heatpulse 244 7100‐1282‐03(7100‐5622‐02?)Pyrometer AG Associates Heatpulse 8108 8800 4108 8008 245 7100‐1497‐02 Robot Effector Robot ARM AG Associates Heatpulse + 7200‐0982‐03 246 7100‐1885‐01 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse 247 7100‐1970‐02 (7200‐1010‐02+7300‐0173‐03+7310‐0568‐01) Robot Effector 248 7100‐2282‐06 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800 249 7100‐2751‐01 Shell A1VANIA Grease 14 oZS Type L AG Associates AWR‐BB‐7‐002 250 7100‐3597‐01 fork assy, dtc,ncluding: 7310‐3049‐02‐C (Plate), 7310‐3050‐01‐A 251 7100‐4015‐01 With B‐47 273903‐1 For AG Asscoiates Heatpulse 252 7100‐4016‐01 With 7310‐2914‐01 A / B‐47 273903‐1 For AG Asscoiates Heatpulse 253 7100‐4016‐02 With 7310‐2913‐01 A / B‐47 273903‐1 For AG Asscoiates Heatpulse 254 7100‐4078‐03 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse 255 7100‐4304‐01 BBU Battery Back‐up Unit AG Associates 7100‐4278‐01 7100‐4307‐01 256 7100‐5177‐01 AG Associates Heatpulse Chips 257 7100‐5622‐02 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer 258 7100‐5622‐02 Pyrometer AG Associates Heatpulse 8108 8800 4108 8008 259 7100‐5622‐02 Pyrometer AG Associates Heatpulse 8108, 8800,4108, 4100, 8008,4100S 260 7100‐5628‐01 For 7100‐5622‐02 / 7100‐1282‐03 Pyrometer AG Associates Heatpulse 261 7100‐5633‐02 AG Associates Heatpulse PCB Zero Crossing Board 3‐PH 60Hz AG RTP 262 7100‐5705‐04 Lamp PWR CNTL 263 7100‐5746‐01 RING, SLIP‐FREE,  5IN  7450‐0032‐05 264 7100‐5747‐01B RING, SLIP‐FREE 6 Inch 265 7100‐5750‐01 assy s‐f/pkg 6in w/o flat, SLIP FREE RING (7450‐0059‐06J),A VERSION 266 7100‐5759‐01 ASM S‐F/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING 267 7100‐5762‐02 assy s‐f/pkg notch 6 in. 7310‐5737‐02 268 7100‐5999‐01 RING, SLIP‐FREE, 8IN, B Version 269 7100‐6159‐05 Lamp Driver 270 7100‐7748‐02 PENTIUM COMPUTER  AG Associates Heatpulse 271 7100‐7911‐02 Front Flange AG Associates Heatpulse 8108 Heatpulse 8800, 4108 8008 272 71268‐02 Gasonics Power One Model HB5‐3/OVP‐A (HB530VPA) 273 7137 Rev E4, SST‐8900 FCC ID HNG890CL‐BBD1TIA1 AWW‐10‐2‐6‐011 274 715‐28552‐001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715‐28552‐001‐1 ) 275 7200‐0067 SR5014590 ,For AG Associates Heatpulse 276 7200‐0189 A For AG Asscoiates Heatpulse 277 7200‐0213‐B for AG Associates Heatpulse RTP 278 7200‐0310‐01 A For AG Asscoiates Heatpulse 279 7200‐0365‐01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 280 7200‐0382‐01 For AG Asscoiates Heatpulse 281 7200‐0383‐01A For AG Associates Heatpulse w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 6 sales@semistarcorp.com
  • 7. 282 7200‐0564‐02 For AG Asscoiates Heatpulse 283 7200‐0564‐02 For AG Asscoiates Heatpulse 284 7200‐0564‐02 For AG Asscoiates Heatpulse 285 7200‐0564‐02A For AG Asscoiates Heatpulse 286 7200‐0602‐03 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008 287 7200‐0603‐01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 288 7200‐0604‐02 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 289 7200‐0607‐03 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 290 7200‐0649‐02 E For AG Asscoiates Heatpulse 291 7200‐0654‐01 7310‐3265‐01,PLATE, WFR CARR, 8IN POPA 292 7200‐0731‐01 A For AG Asscoiates Heatpulse 293 7200‐0746‐02‐P3 For AG Associates Heatpulse 294 7200‐0810‐01 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 295 7200‐0811‐02 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S 296 7200‐0849‐01 Or 7200‐0840‐01 For AG Asscoiates Heatpulse 297 7200‐0941‐01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 298 7200‐0941‐01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 299 7200‐0941‐01B Robot Effector Robot ARM AG Associates Heat‐pulse 8108 8800 4108 300 7200‐0941‐01C + 7310‐1729‐02 C + 7310‐2528‐01 A Robot Arm Effector AG Associates 301 7200‐0945‐01 + 7200‐0982‐03 D Robot Effector Robot ARM AG Associates Heatpulse 302 7200‐0945‐01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 303 7200‐0983‐01 For AG Associates Heatpulse 304 7200‐1092‐01 AG Associates Heatpulse RTP 305 7200‐1097‐01 A For AG Asscoiates Heatpulse 306 7310‐0400‐010 Bracket For AG Asscoiates Heatpulse,with Fittings 307 7310‐0487‐02 B For AG Asscoiates Heatpulse 308 7310‐0698‐01 For AG Associates Heatpulse 309 7310‐0699‐01 For AG Associates Heatpulse 310 7310‐0700‐01 A Tube N2 Inlet For AG Associates Heatpulse 311 7310‐0719‐02C / 01‐148‐033 Crysta SiC Coated, 6 Inch Single Wafer SUSC 312 7310‐1058‐01 For AG Associates Heatpulse ID‐AWS‐016 313 7310‐1059‐01 For AG Associates Heatpulse ID‐AWS‐016 314 7310‐1064‐01 B For AG Associates Heatpulse 315 7310‐1843‐01 B + 7310‐1844‐01 +7310‐1845‐01 Robot Effector 7100‐2906‐01 316 7310‐2224‐01 Rev C Rear Flange With SST Tube AG Associates Heatpulse RTP 317 7310‐2269‐02 Rear Flange AG Associates Heatpulse RTP 318 7310‐2883‐01 A For AG Associates Heatpulse 319 7310‐2886‐01 A Rear Flange No SST Tube AG Associates Heatpulse RTP 320 7310‐2886‐01 Rear Flange, DTC, No SST Tube welded 321 7310‐2887‐02 A RING, SLIP‐FREE 322 7310‐2889‐01(7310‐2829‐01?) B Robot Effector AG Associates 323 7310‐2913‐01 A For AG Asscoiates Heatpulse 324 7310‐2914‐01 A For AG Asscoiates Heatpulse 325 7310‐3026‐02  RING SLIP‐FREE 6 IN NOTCH, OL 326 7310‐3074‐01 RING, SLIP‐FREE, 6IN,A Version 327 7310‐3445‐01 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008 328 7310‐3473‐01 B For AG Associates Heatpulse w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 7 sales@semistarcorp.com
  • 8. 329 7310‐3473‐01 B For AG Associates Heatpulse 330 7310‐3479‐02 AG Associates Heatpulse Arm , Thin, Vestal Chuck 331 7310‐3479‐02 B Robot Effector Robot ARM AG Associates Heatpulse + 7310‐1779‐02 C 332 7310‐3479‐02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 333 7310‐3479‐02 B+ 7310‐1844‐01 +7310‐1845‐01 Robot Effector AG Associates 334 7310‐3479‐02 B+ 7310‐3480‐04D Robot Effector AG Associates 335 7310‐3526‐01 ring, slip‐free 3.0 in 336 7310‐4146‐01 tube n2/purge retro intel, A Version 337 7310‐4576‐02 TUBE,PURGE INLET,FLANGE EZ‐DTC ASSY, C Version 338 7310‐4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 339 7310‐4730‐01 A For AG Associates Heatpulse 340 7310‐4755‐01 g, SLIP 6",P2 VERSION, SLIP FREE RING 341 7310‐4755‐01 g, SLIP 6",R2 VERSION, SLIP FREE RING 342 7310‐5787‐01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108 343 7310‐5787‐01 P4 + 7310‐3480‐04 D + 7310‐2528‐01 A Robot Effector AG Associates 344 7310‐5874‐01 A (7100‐5156‐02 + 7100‐5155‐01 )AG Associates Heatpulse RTP 345 7310‐5874‐01 A Gas Line AG Associates Heatpulse Rtp 346 7310‐6210‐01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310‐5786‐01) 347 7310‐6210‐01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310‐5786‐01) 348 7310‐8278‐01 TUBE,PURGE INLET,7100‐6705‐01,FLANGE EZ‐DTC ASSY 349 7400‐0082‐01 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch 350 7450‐0032‐03 RING, SLIP‐FREE,  6IN , E VERSION 351 7450‐0032‐07 RING, SLIP‐FREE,  6IN , 7100‐5835‐01A, L version 352 7450‐0033‐01 RING, SLIP‐FREE,  5IN 353 7450‐0036‐04 S‐R RINGS R/B 7100‐5751‐01, 8 INCH 354 7450‐0059‐02 RING, SLIP‐FREE W/ NO FLAT,5 INCH 355 7450‐0059‐04 RING, SLIP‐FREE W/ NO FLAT,4 INCH, H Version 356 7450‐0059‐06 RING, SLIP‐FREE W/ NO FLAT,6,INCH, J version 357 7450‐0090‐01 RING, SLIP‐FREE 6 INCH 358 7450‐0091‐01 RING, SLIP‐FREE 8 INCH 359 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm 360 7500‐0096‐01 TRAY ALIGNMENT ,4 INCH, D  Version 361 7500‐0096‐03 TRAY ALIGNMENT ,6 INCH, E  Version 362 7500‐0155‐06 TRAY ALIGNMENT ,8 INCH 363 7600‐0216‐01 AG Associates Heatpulse Chips 364 7600‐0255‐01 V611 OC32 S1 AG Associates Heatpulse Chips 365 7600‐0256‐01 V611 C555 S2 Interface AG Associates Heatpulse Chips 366 7600‐0257‐01 V611 E319 S3 AG Associates Heatpulse Chips 367 7600‐0258 V611 GFB8 S4 AG Associates Heatpulse Chips 368 7600‐0272‐01 AG Associates Heatpulse Chips 369 7650‐0100‐01 AG Associates Heatpulse SST Tube Gas Line With Fittings‐10 370 7650‐0100‐01 Tube Gas Line With Fittings AG Associates Heatpulse 371 7650‐0157‐01 SST Tube Gas Line With Fittings AG Associates Heatpulse 372 7650‐0159‐06 tube, purge exh 373 7650‐0298‐02 B (?)Tube Gas Line With Fittings AG Associates Heatpulse 374 7650‐0298‐02 B Tube Gas Line With Fittings AG Associates Heatpulse 375 76H4972 J127W036298 94‐3015 PCB AWM‐B‐4‐5‐002 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 8 sales@semistarcorp.com
  • 9. 376 7EC4889‐850 Pall Trinity Micro SST Gas Tube ID‐AWS‐021 377 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket 378 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring 379 8 Inch  Wafer Carrier With 6 Inch Pocket With 1.5 mm Holes 380 8 Inch Cassette With Box 381 8 Inch Si Wafer With 6 Inch Pocket With Flat 382 8 Inch Si Wafer With 6 Inch Pocket With Flat 383 80‐095‐256 Pin Lifter Fiber Optic Cable Tegal Spare Part 384 80‐095‐278 Rev 10 RW Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐001 385 80‐095‐278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐004 386 80‐095‐278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐005 387 80‐095‐278 RW B Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐003 388 80‐095‐278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts 389 80‐095‐278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts 390 80‐095‐278 Tegal  901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐006 391 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐002 392 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB AWM‐G‐5‐1‐005 393 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐001 394 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐002 395 80‐095‐278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM‐G‐5‐3‐003 396 80‐202‐291 Tegal Spare Part 397 80‐202‐327 Tegal Spare Part 398 81‐007‐138 Bellow  for Tegal equipment 399 8210 SOLENOID VALVE 400 88102K‐RE Omega Replacement Element 401 882‐99‐000 sch 882‐99‐101 402 882‐99‐000 sch 882‐99‐101 MOD 8332 403 90‐1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD‐3‐1‐7‐007 2/2 404 90‐1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD‐D‐3‐1‐8‐003 405 9030‐0300‐02 For 7100‐0474‐01 Robot Effector AG Associates 406 92‐005346‐0X Rev D‐B‐02 5930 034542 PCB AWM‐B‐4‐5‐001 407 921D‐A1P The Foxboro Company Temperature Controller ID‐AWM‐D‐3‐1‐002 408 94‐287‐002 Tegal 1513e Switch , Differential Spare Part 409 944SSFSFF VERIFLO Regulator Valve 410 944SSFSFF VERIFLO Regulator Valve With Plate 031‐0097 Rev A 411 94C19C6R Valcor Engineering Corp Valve 412 95‐3531 REV. B PCB Sea level Systems 413 95‐3618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510 414 959‐100W‐2P‐FS‐MF VERIFLO Regulated Valves 415 980‐4825 ROBITECH INC SN36367 ‐8 VALVE MODULR 980‐4800 SERIES 416 9830‐0390 Station AG Associates Heatpulse, With9820‐2020 SVPC‐1 417 9830‐0390 Station AG Associates Heatpulse, With9820‐2020 SVPC‐1 418 9830‐2030 Sensor I/O AG Associates Heatpulse PCB 419 9830‐2080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 7100‐5211‐0 420 99‐046‐001  MEC‐1 TEGAL PCB 98‐046‐001 REV A 421 99‐046‐602 REV C MEC‐2 TEGAL PCB 98‐046‐001 REV C 422 99‐103‐001 REV E LMB‐1 TEGAL PCB 98‐103‐001 REV A w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 9 sales@semistarcorp.com
  • 10. 423 99‐104‐001 LMC‐1 TEGAL PCB 98‐104‐001 424 99‐106‐001 REV D ATS‐1 TEGAL PCB 98‐106‐001 REV B 425 99‐111‐001, AST‐1, TEGAL PCB 426 99‐114‐001 REV B MMC‐1 TEGAL PCB 98‐114‐001 REV A 427 99‐118‐001 REV B RFL‐1TEGAL PCB 98‐118‐001 REV 1 A 428 99‐121‐001 REV B TEGAL PCB 98‐121‐001 REV A 429 99‐125‐004 REV D FPI‐4 TEGAL PCB 98‐125‐002 REV A 430 99‐125‐004 REV G FPI‐4 TEGAL PCB 98‐125‐004 REV B 431 99‐125‐004 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐010 432 99‐125‐008 REV C FPI‐8TEGAL PCB 98‐125‐008 REV B 433 99‐126‐005 PCB  Tegal 901e Tegal 903e AWM‐G‐5‐3‐008 434 99‐126‐006 PCB  Tegal 901e Tegal 903e AWM‐G‐5‐3‐009 435 99‐128‐002 TEGAL PCB 98‐128‐002 REV 4 436 99‐128‐003 REV E ISR‐3 TEGAL PCB 98‐128‐003 REV 1 437 99‐129‐004 REV F SHI‐4 Tegal PCB 98‐129‐004 REV A 438 99‐138‐001 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐008 439 99‐138‐001 TEGAL PCB 98‐138‐001 REV 2 440 99‐138‐004  Tegal PCB 98‐138‐004 441 99‐142‐001 Tegal PCB 98‐142‐001 442 99‐172 Tegal PCB 98‐172‐001 REV A 443 99‐172 Tegal PCB 98‐172‐001 REV2 444 99‐172‐001 REV S IMN‐1 98‐172‐001 REV A, Tegal 901e, Tegal 903e 445 99‐172‐002 , IMN‐3 ,Tegal PCB ,98‐172‐001 446 99‐172‐002 Tegal 901e Tegal 903e AWM‐G‐5‐3‐007 447 99‐172‐003 , IMN‐3 ,Tegal PCB ,98‐172‐003 448 99‐172‐003 REV F IMN‐3 98‐172‐003 REV B, Tegal 901e, Tegal 903e 449 99‐172‐003 Tegal 901e Tegal 903e AWM‐G‐5‐3‐006 450 99‐173‐003  Tegal PCB 451 99‐173‐004 REV D RFG‐4 Tegal PCB 98‐173‐003 REV B 452 99‐173‐004 Tegal PCB 98‐173‐003 453 99‐173‐005 REV A RFG‐5 Tegal PCB 98‐173‐005 REVA 454 99‐173‐008 Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐007 455 99‐173‐008/c Tegal  901e Tegal 903e  PCB AWM‐G‐5‐1‐009 456 99‐181‐001 B EPD‐1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM‐G‐5‐3‐005 457 99‐181‐002 D EPD‐0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM‐G‐5‐3‐004 458 99‐181‐002 REV L EPD‐2 Tegal PCB ENDPOINT DETECTOR 459 99‐186‐001 REV 2A ATT‐1 and 98‐186‐001 Tegal PCB 460 99‐187‐002 REV A ATO‐2 and 98‐187‐002 REV 1 Tegal PCB 461 99‐190‐002 REV A PMF‐2 and 98‐190‐002 REV A Tegal PCB 462 99‐195‐003  Tegal 1513e PCB, Switch Assembly Spare Part 463 99‐196‐001 6 ATI‐2 and 98‐196‐001 REV 2 Tegal PCB 464 99‐196‐001 J and 98‐196‐001 REV C Tegal PCB 465 99‐196‐002 P ATI‐2 and 98‐196‐001 REV C Tegal PCB 466 99‐196‐002 P ATI‐2 and 98‐196‐001 REV E Tegal PCB 467 99‐196‐002 REV E ATI‐2 and 98‐196‐001 REV B Tegal PCB 468 99‐196‐002 REV K ATI‐2 and 98‐196‐001 REV C Tegal PCB 469 99‐196‐002 REV W ATI‐2 and 98‐196‐001 REV C Tegal PCB w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 10 sales@semistarcorp.com
  • 11. 470 99‐196‐002 REV W ATI‐2 and 98‐196‐001 REV F Tegal PCB 471 99‐200‐001 REV 4 SIS‐1 PCB TEGAL 98‐200‐001 REV 2 (1).JPG 472 99‐200‐003 REV B SIS‐3 PCB TEGAL 98‐200‐002 REV 1  Tegal 473 99‐200‐003 REV C SIS‐3 PCB TEGAL 98‐200‐002 REV 1 Tegal 474 99‐200‐004 REV C SIS‐4 PCB TEGAL 98‐200‐001 REV 2 475 99‐200‐005 REV B SIS‐5 PCB TEGAL 98‐200‐002 REV 1 476 99‐200‐005 REV E SIS‐5 PCB TEGAL 98‐200‐002 REV 1 477 99‐200‐005 REV G SIS‐5 PCB TEGAL 98‐200‐002 REV 1 478 99‐200‐005 REV H SIS‐5 PCB TEGAL 98‐200‐002 REV 1 479 99‐200‐005 REV H SIS‐5 PCB TEGAL 98‐200‐002 REV A 480 99‐200‐005 REV J SIS‐5 PCB TEGAL 98‐200‐002 REV A 481 99‐207‐004 REV A MBE‐4 PCB TEGAL 98‐207‐004 REV A  Tegal 482 99‐207‐004 REV C MBE‐4 PCB TEGAL 98‐207‐004 REV B Tegal 483 99‐209‐001 REV C PCB ADM‐1 TEGAL 98‐209‐001 REV A   , Tegal 901e, Tegal 903e 484 99‐209‐001 REV E PCB ADM‐1 TEGAL 98‐209‐001 REV A , Tegal 901e, Tegal 903e 485 99‐209‐001 REV F PCB ADM‐1 TEGAL 98‐209‐001 REV 1 , Tegal 901e, Tegal 903e 486 99‐209‐001 REV F PCB ADM‐1 TEGAL 98‐209‐001 REV A, Tegal 901e, Tegal 903e 487 99‐214‐002 REV 5 PCB TEGAL 98‐214‐002 REV 2  , Tegal 901e, Tegal 903e 488 99‐214‐003 REV A PCB TEGAL 98‐214‐002 REV A , Tegal 901e, Tegal 903e 489 99‐214‐003 REV C PCB TEGAL 98‐214‐002 REV A  , Tegal 901e, Tegal 903e 490 99‐214‐003 REV G PCB TEGAL 98‐214‐002 REV 2, Tegal 901e, Tegal 903e 491 99‐214‐003 REV H PCB TEGAL 98‐214‐002 REV C  , Tegal 901e, Tegal 903e 492 99‐287‐001 REV C SST 98‐287‐001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e 493 99‐309‐001 Rev B OSI‐1 98‐309‐001 Rev A 42‐730‐001 Rev B 93‐318‐001 Tegal 494 994750‐0001 70 DG840600169 Assy 994752‐000 /994751‐000 AWM‐B‐4‐5‐004 495 9x2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover 496 A&N Cooperation 2‐way Flange SST New AWM‐F‐2‐6 497 A&N Cooperation 4‐way Flange SST New AWM‐F‐2‐5 498 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWM‐C‐4‐6 499 A01‐132‐01 / 01‐2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall 500 A01‐132‐01 / 01‐2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall 501 A111E1 And 111M4A For AG Asscoiates Heatpulse? 502 A1‐9604 FCC ID B9482335 HP 3345 82335‐60001 F 82235‐8001 AWW‐10‐2‐4‐002 503 A196B PCB 504 A36‐B10A‐15T2‐000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected 505 A4‐000‐01 QUARTZ TRAY 6 inch 506 A4‐0120‐00 QUARTZ Tube 507 A4‐0121‐00 QUARTZ Plate , Thick 508 A4100‐4A 4 Inch Muffle SiC Coat , Susceptor Base 509 A5 ElectroGlas Theta Z Joystick Assy 250262‐001 Rev E AWW‐10‐2‐5‐007 510 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067‐001 Rev L AWW‐10‐2‐5‐001 511 A8100‐4B 4 Inch Muffle SiC Coat , Susceptor Disc 512 A93‐038‐01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510 513 A93‐038‐01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510 514 A95‐060‐01 Gasonics INTL With SST Tube AWD‐D‐3‐1‐5‐006 515 A95‐107‐01 B Control Panel For Gasonics Aura 2000LL AWD‐D‐2‐8‐10 516 A95‐107‐01 B Control Panel For Gasonics Aura 2000LL AWD‐D‐2‐8‐10‐003 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 11 sales@semistarcorp.com
  • 12. 517 A95‐205‐01  With A90‐048‐01 ,A90‐029‐02 Gasonics Aura 3010 AWD‐D‐3‐1‐14‐005 518 A95‐205‐01 Rev A & A95‐053‐02 C Gasonics Lamp Assembly For Gasonics Aura 519 A95‐205‐01 Rev A & A95‐053‐02 C Gasonics Lamp Assembly For Gasonics Aura 520 AB Bulletin 1492‐ERL35 A Terminal Items 521 AB Bulletin 1492‐H6 Terminal Items 522 ABB AL30 AL30‐30‐10 523 AC DC Power Box For AG Associates Heatpulse 524 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWD‐D‐3‐3‐1 525 AC Service CF 1004 For Tegal 901e 903e AWD‐D‐3‐2‐12 526 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP 527 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP 528 Accessories, Package Sale 529 Accurate Gas Control System No. 00746 Water Cooling Jacket OD 4.5”ID‐AWM‐D‐5‐002 530 Accurate Gas Control Systems Temperature Control AGT254200‐1 PCB 531 ACE Glass 12530‐17 Cylinder 2000ML SP Scienceware Cylinder, PP, Graduated , 2000 532 Acopian Model AC To DC Power Module DB15‐30 AWM‐F‐2‐4‐004 533 Acopian U35Y500M Unregulated Power Supply 3A 250v ID‐AWS‐K‐1‐012 534 Acrylic Sheet Plate 535 AD Data Inc Model 5600 Switching Control Mainframe ID‐AWM‐F‐1‐009 536 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher 537 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher 538 Adaptec AHA‐2940W/ 2940UW Assy 917306‐52 FGT2940UW BD0B20105BE AWW‐10‐2‐4‐004 539 Adaptec AHA‐2940W/2940UW Assy 917206‐18 BF0A71403H9 FGT2940UW AWW‐10‐2‐16‐003 540 Adaptec AHA‐2944UW Assy 991506‐12 FGT‐2944UW 05394C‐C/O SG‐A00 AWW‐10‐2‐3‐007 541 Adaptec AHA‐2944W / 2944UW Assy 917306‐00 FGT‐2944UW BA0J7310G1F AWW‐10‐2‐4‐001 542 Adapter /flange For Thin Film Deposition Equipment 543 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW‐10‐2‐15 544 Advanced Energy 01990 Power Supply , DC Power Supply 545 Advanced Energy 2011‐029‐A Power Supply , DC Power Supply 546 Advanced Energy 2011‐029‐D Power Supply , DC Power Supply 547 Advanced Energy 2011‐029‐E Power Supply , DC Power Supply 548 Advanced Energy 2012‐000‐F Power Supply , DC Power Supply 549 Advanced Energy 2012‐000‐K Power Supply , DC Power Supply 550 Advanced Energy 2225‐000‐F Power Supply , DC Power Supply 551 Advanced Energy 2225‐000‐S Power Supply , DC Power Supply 552 Advanced Energy 2225‐000‐S Power Supply , DC Power Supply 553 Advanced Energy 3006‐000‐B / 0090‐90279 High Voltage Unit Focus 554 Advanced Energy 3152012‐037TPower Supply , DC Power Supply 555 Advanced Energy 3155017‐000 RF Match Unit Matching Network Tuner 556 Advanced Energy 3155017‐000 RF Match Unit Matching Network Tuner 557 Advanced Motion For Pre‐aligner Ver.2 12403‐0040 PC3XC‐PM1 AWR‐039‐CC‐1‐004 558 Advanced Motion For Pre‐aligner Ver.2 14191‐0003 X02 PC3XD‐PF1 AWR‐039‐CC‐1‐003 559 Advanced Radiation Corp CSA75‐SPEC For Gasonics Aura 3010 AWD‐D‐3‐1‐15‐003 560 AE Advanced Energy 4013‐012‐01 Plasma Technology Control ID‐AWM‐F‐1‐005 561 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐006 562 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐007 563 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD‐D‐2‐8‐12‐008 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 12 sales@semistarcorp.com
  • 13. 564 AG Asscoiates 7100‐3689‐01 Tool, Flange Pin Repl 565 AG Asscoiates Alarm Lamp With 7200‐0514‐03 C Plate 566 AG Asscoiates Bracket 7200‐0973‐02 B 567 AG Associates (Metron Technology) 7100‐5995‐02B, Tray, Ceramic Shield, 6 inch 568 AG Associates (Metron Technology) 7100‐6360‐01A Tray, Ceramic Shield, 4 inch 569 AG Associates (Steag RTP Systems) 7310‐1644‐01B 570 AG Associates 031‐0096 050‐0296 Gas Lines With Valves 571 AG Associates 0641‐0796‐02 C Kit, FlowMtr/Flowsw Retro 572 AG Associates 2100‐0201‐01 Rev 005 VGA/FLAT Panel Video Card AWM‐B‐4‐5‐018 573 AG Associates 2706‐0004‐01 T.E.M. Filter TEM‐915 MFCPT ID‐AWM‐A‐3‐5‐001 574 AG Associates 2706‐0011‐02 ? Pall GLFPF3000VFM4 4KB‐M2000‐1 ID‐AWM‐A‐3‐5‐003 575 AG Associates 2900‐0036‐01 WIKA Type 111 10 2”  ID‐AWM‐A‐3‐7‐001 576 AG Associates 4000‐0005‐01 LVS‐44 E‐5 HAL‐01‐386 R‐1 AWM‐F‐2‐4‐002 577 AG Associates 4500‐9020‐01 578 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D 579 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7210‐0510‐01 Bracket 580 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7310‐0510‐01 A Bracket 581 AG Associates 4502‐0035‐02 Phd Inc ML‐32178 D With 7310‐0532‐01 A Bracket 582 AG Associates 7100‐4033‐01B Tray, 7310‐2881‐01 For 8 Inch 583 AG Associates 7200‐0068 Rev F Globe Motors 409A6029‐2 7310‐3605‐01 584 AG Associates 7200‐0962‐03 C 585 AG Associates 7310‐2843‐01A Quartz Tray With TC Station For Heatpulse 8108 8800 586 AG Associates 7310‐2935‐01A For Heatpulse 4100 6 Inch 587 AG Associates 7310‐2956‐01 588 AG Associates 7310‐3575‐01A (?) Quartz Door Inlay Long 589 AG Associates 7310‐3575‐01A Quartz Door Inlay Short 590 AG Associates 7310‐4434‐01A Quartz Tray With TC Station (Rear) 4‐6 Inch 591 AG Associates 7310‐4434‐01A Quartz Tray With TC Station (Rear) 4‐6 Inch 592 AG Associates 7400‐0102‐01C Quartz Inlay 593 AG Associates 7400‐0109‐06 quartz Tray For Heatpulse 8108 4108 8800 594 AG Associates 7400‐0115B / 7310‐4341‐01B Quartz Tube For Heatpulse 8108 8800 595 AG Associates Door Control Panel With 7100‐5126‐01 Assembly ID‐AWM‐A‐3‐6‐003 596 AG Associates Door Control Panel With 7100‐5136‐01 Assembly ID‐AWM‐A‐3‐6‐004 597 AG Associates Heatpulse 210 Rapid Thermal Processing equipment 598 AG Associates Heatpulse 4100 Chamber Assembly 599 AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment SN 1M93174 600 AG Associates Heatpulse 610 Rapid Thermal Processing equipment 601 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing 602 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing 603 AG Associates Heatpulse 7200‐0428‐01A Door 604 AG Associates Heatpulse 7200‐1069‐01B 605 AG Associates Heatpulse 8108 8800 7100‐5897‐01, Assembly,Quartz Tray,6", EZ‐DTC 606 AG Associates Heatpulse 8108 8800 7100‐5898‐01, Assembly,Quartz Tray,8", EZ‐DTC 607 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard 608 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400‐0100‐05J 609 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400‐0100‐06K 610 AG Associates Heatpulse Ceramic Shield 6 Inch w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 13 sales@semistarcorp.com
  • 14. 611 AG Associates Heatpulse Ceramic Shield 8 Inch 612 AG Associates Heatpulse Pump 115 , GAST MOA‐V113‐AE 613 AG Associates Heatpulse Pump 115 , GAST MOA‐V113AE With 7100‐1270‐05 Wire Cable 614 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD‐1‐13 615 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer 616 AG Associates Heatpulse Susceptor 7310‐0719‐02 New 6” Starship W/Thermo Wells 617 AG Associates Heatpulse Susceptor 7310‐1028‐02 New 618 AG Associates Mini‐pulse 310 Rapid Thermal Processing Rapid Thermal Annealing 619 AG Associates Plate With TRW Global Motor 409A6029‐2 AWM‐C‐4‐1‐001 620 AG Associates PN 7310‐4620‐01 621 AG Associates Purge Interface 9830‐2090 PCB 622 AG Associates Quartz Baffle 623 AG Associates Quartz Liner 7310‐3010‐01A For Heatpulse 4100 6 Inch 624 AG Associates Quartz Liner 7310‐3010‐02B For Heatpulse 4100 6 Inch 625 AG Associates Quartz Tray 7310‐0692‐01 For Heatpulse 4100 TC Station 6 Inch 626 AG Associates Quartz Tray 7310‐0692‐02 For Heatpulse 4100 M‐tray Slip Free 6 Inc 627 AG Associates Quartz Tray 7400‐0101‐06 628 AG Associates Quartz Tray 7400‐0106‐06J 629 AG Associates Quartz Tray 7400‐0109‐03B 5 Inch 630 AG Associates Quartz Tray 7400‐0109‐06F 5 Inch 631 AG Associates Quartz Tray 7400‐0109‐06F 5 Inch 632 AG Associates Quartz Tray 7400‐0109‐06K 5 Inch 633 AG Associates Quartz Tray 8 Inch 7310‐5111‐01? 634 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S 635 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S 636 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S 637 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S 638 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108 639 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108 640 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108 641 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108 642 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108 643 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 3‐6 “ 7400‐0111‐01 644 AG Associates Quartz Tray, 7400‐0133‐03 / 7100‐1844‐01C 645 AG Associates Quartz Tray, 7400‐0133‐03 / 7100‐1844‐01C 646 AG Associates Quartz Tray, Standard For 8 Inch 647 AG Associates Quartz Tube 7400‐0023‐01G 6 Inch 648 AG Associates Quartz Tube 7400‐0100‐05J 649 AG Associates RMS Analog Card PCA 7100‐5209‐01‐A AWW‐10‐2‐6‐004 650 AG Associates Steag 2908‐0044‐01 Flower Meter Rate‐Master Dwyer ID‐AWM‐A‐3‐5‐008 651 AG Associates Steag 5108‐008‐01 Pressure Control FIO 3 Flow ID‐AWM‐A‐3‐5‐010 652 AG Associates Steag 7100‐0881‐02 ? Kobold Type 41R57 Flow Meter ID‐AWM‐A‐3‐5‐009 653 AG Associates Steag Ast 4000‐0009‐01 DC Power?  ID‐AWM‐A‐3‐5‐007 /‐7‐002 654 AG Associates Steag Ast 5102‐0025‐01 Main Contactor ID‐AWM‐A‐3‐5‐006 655 AG Associates Steag Ast 83300016 Siemens 3TK28 04‐0BB4 ID‐AWM‐A‐3‐7‐003 656 AG Associates Steag Ast Elektronik 5103‐9036‐01 Switch,pressure ID‐AWM‐A‐3‐5‐005 657 AG Associates Steag Ast Elektronik 7310‐9423‐01 Pressure Meter  ID‐AWM‐A‐3‐5‐007 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 14 sales@semistarcorp.com
  • 15. 658 AG Associates Steag Ast Elektronik Universal Adhesive 99300009 ID‐AWM‐A‐3‐5‐004 659 AG Associates Steag Tric Plate Assembly ID‐AWM‐A‐3‐6‐001 660 AG Associates Steag Tric Plate Assembly ID‐AWM‐A‐3‐6‐002 661 AG Associates Steak RTP System 7310‐9733‐01 P1 Tube , Quartz , Steam Output 662 AG Associations 4502‐0228‐01 NUPRO 6L‐MV2858‐11 Valve 663 Agilent E5940B #300 /E5840B  TracePort Analyzer ID‐AWW‐8‐2‐3‐002 664 Agilent HFBR 5903e 0105 Made In Malaysia AWM‐B‐4‐5‐017 665 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWD‐D‐1‐5‐001,‐4‐001 666 Air Products ANSI B40.1 Pressure Meter 2.5” 0‐1400kpa Cajon N‐70 AWM‐F‐2‐4‐001 667 AIR Velocity Meter Model 440 ID‐AWM‐F‐1‐002 668 Airco Temescal FC‐1800 BOC Coating Technology Programmable Sweep ID‐D‐EV001‐5 669 Airco Temescal FC‐1800 Controller ID‐D‐EV001‐2 670 Airco Temescal FC‐1800 CV‐8 High Voltage Control  ID‐D‐EV001‐7 671 Airco Temescal FC‐1800 CV‐8 High Voltage Control ID‐D‐TRACK‐2‐001 672 Airco Temescal FC‐1800 Evaporator 673 Airco Temescal FC‐1800 Evaporator 674 Airco Temescal FC‐1800 Gun Control 1   ID‐D‐EV001‐8 675 Airco Temescal FC‐1800 Gun Control 1 ID‐D‐TRACK‐2‐002 676 Airco Temescal FC‐1800 Inficon IC 6000 ID‐D‐TRACK‐2‐003 677 Airco Temescal FC‐1800 Inficon IC/5 Deposition Controller ID‐D‐EV001‐3 678 Airco Temescal FC‐1800 Leak Check Sensitivity Varian ID‐D‐EV001‐12 679 Airco Temescal FC‐1800 Manual Vale Control ID‐D‐EV001‐10 680 Airco Temescal FC‐1800 MKS HPS Products 937A Gauge Controller ID‐D‐TRACK‐2‐007 681 Airco Temescal FC‐1800 Shutter Control Boc Coating Technology ID‐D‐EV001‐9 682 Airco Temescal FC‐1800 Substrate Heat ID‐D‐EV001‐11 683 Airco Temescal FC‐1800 Varian 843 Vacuum Ionization Gauge  ID‐D‐TRACK‐2‐006 684 Airco Temescal FC‐1800 Varian Accessory Control ID‐D‐TRACK‐2‐005 685 Airco Temescal FC‐1800 Varian Vacuum Process Control 980‐6100 ID‐D‐TRACK‐2‐004 686 AIRPAX Cambridge MD USA Circuit Breaker 1 Point Unit  AIRPAX M 209 LR26229 687 Airproducts 809‐451247704A SST Gas Tube ID‐AWS‐021 688 Airproducts 809‐470172904A SST Gas Tube ID‐AWS‐021 689 Aixcon Surface GSNX No. 94‐3075 Part No. 1700.2021 Date 04/2000 690 AJA International Model ST‐4510 Sputter Cathode With Target 7x12x1.5 Inch 691 AJA International Sputter Cathode 692 AJA International Sputter Cathode Part 693 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ‐6‐004 694 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐001 695 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐002 696 AJA Sputtering Evaporators Heater Wires Feedthrough DZ‐6‐003 697 Alarm Lamps Frame For AG Associates Heatpulse 698 Alcatel OME 40 C2 699 Alcatel‐Annecy Ty 5402 C15 Turbo Pump ? ID‐DZ‐7‐006 700 Alicat Mass Flow Meter, MS‐10SLPM, Gas H2S 701 Allwin21 AW‐ETCH‐105‐002 21‐0009‐002 /2100‐0105‐002 K PCB 702 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐020 703 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐021 704 ALPHA Systems Lab Inc MBA‐WV1‐F PCB‐WV1‐F FCC ID JSQASLWACJJMP1 AWW‐10‐2‐7‐022 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 15 sales@semistarcorp.com
  • 16. 705 Alpha? MBA‐WV1‐F FCC ID JSQASLWACJJMP1 JAX‐8228 Clone Cirrus AWW‐10‐2‐16‐006 706 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 03601‐22‐040, INDUSTRIAL ELECTRONI ENGINEE 707 Altech Corp ABLsursum  38‐2826 3BU32 B32A Zone21  ID‐AWM‐D‐3‐1‐001 708 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP 709 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP 710 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP ‐2 711 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher 712 AMAT 0020‐04018 Rev G NDM‐176‐041 Chuck ID‐AWM‐D‐2‐1 713 AMAT AMP‐3300 PECVD 714 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐002 715 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐003 716 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐004 717 American Optical AO Instrument Model 572 2x With 599 AWW‐6‐2‐4‐005 718 Amperex 4‐400A 97‐12  ID‐AWS‐011 719 Analog Board AG Associates Heatpulse PCB 9800‐0310 REV E 720 Analog Board HP811204‐01 REV 13 AG Associates Heatpulse PCB 9000‐0290 721 Anelvi Vacuum Connector NW35 To 5/8 Inch Tube ID‐AWS‐026 722 Antec 1.6 723 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 ID‐AWW‐7‐2‐4‐001 724 AP1002S 2PW FV4 FV4 APTech Regulated Valves 725 AP1002S 2PW MV4 MV4 APTech Regulated Valves 726 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve 727 AP1410SM 2PW FV4 MV4 APTech Regulated Valves 728 AP1510S 5PW SG Valve,HPI Valve SEMI 01‐0241‐B Pressure Meter 729 AP3625S 2PW FV4 FV4 APTech Valve 730 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech 731 AP3625SM 2PW FV4 FV4 APTech Valve 732 AP3625SM 2PW FV4 FV4 APTech Valve 733 Applied Materials AMAT Automatic Temperature Control 600369 ID ‐AWM‐B‐4‐2‐004 734 Applied Materials Plasma II Plasma Etching 735 APS‐B‐2710‐10 PCB Contactor Relay Driver Applied Materials AMAT PCB 736 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process 737 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID‐AWS‐20 738 APTech AP3625S 2PW FV4 FV4 AWM‐C‐4‐7‐001 739 APTech AP3625SM 2PW FV4 FV4 AWM‐C‐4‐7‐002 740 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWM‐C‐4‐8‐001 741 ARM Controller Board Assy 06764‐002 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐011 742 ARM Controller Board Assy 06764‐002 For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐3‐002 743 ARO BRYAN 127122‐000 Valve, Gas Line With Pressure Meter Plastic Tube 744 ASCO Red‐hat SCB262G91VH Valve Automatic Switch Co 745 ASCO Scientific AL374 VI30PSI 5102 24VDC 746 ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200‐1114‐03 C 747 ASFH34x214 RE SRCE 18NPT And 7200‐1224‐03C For AG Asscoiates Heatpulse 748 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWM‐D‐3‐2‐003 749 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA 750 Assembled In Mexico AIRPAX AG  Associates 5102‐0021‐01 751 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102‐0038‐01 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 16 sales@semistarcorp.com
  • 17. 752 Assembled In Mexico AIRPAX Line AG  Associates 5102‐0034‐01 753 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102‐0033‐01 754 Associated Vacuum Technology Lab‐Line 3625 With LAB‐LINE   SQUAROID Duo‐VAC Oven 755 ASSY 001‐0084‐01 IO BOARD 10083 REV B 756 Assy 00200‐AD01 REV B ( 14907‐01 / 14908‐01 / 14909‐01 PCB ) 757 ASSY 03‐0172‐400 REV B 3C905B‐TX‐WOL 758 Assy 10090 Motor Driver 10089 Rev A 759 Assy 10092 Motor Driver 10091 Rev A 760 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWD‐D‐1‐1‐7‐011 761 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 68‐0131? 762 ASTECH Model Automatic Matching Controller RC‐10U ID ‐AWM‐B‐4‐1‐003 763 Asyst Mini environment Cassette 764 Asyst Mini environment Cassette, 6 Inch 765 Asyst Mini environment Cassette, 6 Inch 766 ASYST SAM‐4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWD‐D‐3‐1‐14‐004 767 ASYST TECHNOLOGIES Minienvironment for Gasonics Aura 3010, Gasonics L3510 768 Asyst Technologies Polaron Film Thickness Monitor E5500 ID‐AWS‐K‐1‐007 769 Automation Unlimited PCB 25013‐6 770 Autonics AC Ampere Meter M4W‐A ID‐AWM‐A‐3–4‐018 771 AW‐105‐0019 Rev B Matrix TRANSPORT INTERFACE 21‐0009‐0105‐019 772 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher 773 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series 774 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher 775 B5‐3.0 OVP Condor Inc Power Supply 776 Banner Modulated Photoelectric Amplifier MA3 AWD‐D‐3‐1‐5‐012 777 Barnstead Thermolyne pM‐512 ID‐AWS‐K‐1‐004 778 Battery Backup Unit For AG Associates Heatpulse 779 Bausch & Lomb Transformer Cat No 31 35 28 AWM‐F‐2‐1‐001 780 Bausch&Lomb Microscope StereoZoom 7  1.0‐7.0x With 2 Of 10x W.F. AWW‐6‐3‐013 781 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1‐7x ID‐AWW‐7‐2‐1‐001 782 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1‐7x ID‐AWW‐7‐2‐1‐002 783 Bausch&Lomb Microscope W/ StereoZoom 4 0.7x‐30x AWW‐6‐2‐4‐006 784 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x ‐ 3x ID‐AWW‐7‐2‐2‐002 785 Bausch&Lomb Microscope W/ Zoom 200M  1‐7x ID‐AWW‐7‐2‐2‐001 786 Bausch&Lomb Microscope Zoom 1x‐7x , 2 Of 10x W.F. Stered ID‐AWW‐7‐2‐4‐004 787 Bay A.T. BES‐4243 BES 4243 BES4243 AWM‐B‐4‐5‐011 788 Bay A.T. Gas Distributor PCB AWM‐G‐E‐2‐011 789 Bay Pneumatic Inc BES‐530‐8‐PCB 80‐095‐255. (?) PWK52486 AWM‐B‐4‐5‐009 790 Bay Seal Co $32240‐325‐WS6$ MIO‐MS‐R‐325‐W‐404 ID‐DW‐6‐4‐2‐001 791 Bay Seal Co ? American Variseal R40‐214‐W99S ID‐DW‐6‐4‐2‐012 792 Bay Seal Company Part Number 2‐383V75 O‐ring Viton 75d For STS ICP 793 Bay‐Tec 80‐055‐437 For Tegal? ID‐AWS‐K‐2‐002 794 Beaker 1000ml 8900‐752 Kimax Kimble No 14030 795 Beaker 2000ml VWR 10536‐518 796 Beaker 200ml 797 Beaker 4000ml Kimax Kimble No 14005 798 Beaker 400ml w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 17 sales@semistarcorp.com
  • 18. 799 Beaker 600ml Pyrex No 1000 (3 PC) VWR 89000‐224 (2 PC) 800 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates 801 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates 802 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N209‐06 Cable AWW‐10‐2‐2 803 Bellow  For  Branson/IPC  Asher (?) ID‐AWS‐k‐5‐1‐004 804 BF‐006 IHC24‐2.4 11944 International Power DC Power Supplies 805 BIMBA D‐17469‐A‐8 Plastic Filter? For Gasonics Aura 3010 3000 AWD‐D‐3‐1‐10‐002 806 BIMBA D‐17469‐A‐8 Stainless Filter? For Gasonics Aura 3010 3000  AWD‐D‐3‐1‐9‐001 807 BIMBA D‐17469‐A‐8 Stainless Filter? For Gasonics Aura 3010 3000 AWD‐D‐3‐1‐10‐001 808 Black‐Ray Longwave Ultraviolet Lamp ID‐AWS‐L‐1‐3‐003 809 B‐line LR 78446 Power Box 810 Blue M OV‐472A‐2 38C‐260C 811 Blue‐white F‐400 Flow Meter , Pressure Meter 812 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5 813 Bodine Minarik Electric NSH‐12RG Motor ID‐AWS‐20 814 Bold Technologies 625 DTS Model 625D Dump Rinse Control ID‐AWM‐D‐2‐2‐001 815 BOLD Technologies INC Batch Develop Station 816 Boonton Electronics Model 72BD Capacitance Meter Tester ID‐AWW‐8‐2‐4‐002 817 Bosch&Lomb Microscope Sterea Star Zoom 0.7x‐4.2 570 2 Of 15x ID‐AWW‐6‐2‐1‐001 818 Box Power 819 Box Power, RF 820 Bracket For AG Asscoiates Heatpulse 4100 821 Branson 3000 Barrel Asher 822 Branson IPC 2000C Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean 823 Branson IPC 4000 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean 824 Branson IPC B3003 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean 825 Branson/IPC 2000/2 Plasma Asher 826 Branson/IPC 3000 Barrel Asher Etcher With 2000C Controller 827 Branson/IPC 3000 Plasma Etch/Asher system 828 Branson/IPC 3000C Controller 829 Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean 830 Branson/IPC 4000 Controller 831 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher 832 Branson/IPC 843601188 A /843‐601188 A Made In Japan DP 1240 C1 833 Branson/IPC Automatch Board PWA 804‐13284‐01 REV B 834 Branson/IPC Automatch Board PWA804‐13284‐01 B ID‐AWM‐E‐5‐2‐005 835 Branson/IPC Automatch Board PWA804‐13284‐01 LL ID‐AWM‐E‐5‐2‐006 836 Branson/IPC D2000 Controller For Branson/IPC 3001, 2000, 4001,3000 AWD‐D‐3‐3‐2 837 BRanson/IPC Display Driver 16498‐01 B AWD‐D‐3‐1‐7‐004 2/2 838 BRanson/IPC Display Driver 16498‐01 B AWD‐D‐3‐1‐7‐005 2/2 839 Branson/IPC Display Driver 16498‐01 PCB AWD‐D‐3‐1‐8‐001 840 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment 841 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment 842 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment 843 Branson/IPC Gasonics Lamptray Assy A95‐053‐02 And A95‐205‐01 AWD‐D‐1‐4–7 844 Branson/IPC L2101 Barrel Asher Etcher With New PM9110 Controller , 5 Gases Box 845 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD‐D‐1‐10 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 18 sales@semistarcorp.com
  • 19. 846 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD‐D‐2‐1 847 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWD‐D‐2‐2 848 Branson/IPC L3200 Plasma Asher Plasma Stripper AD‐AWO‐1‐13 849 Branson/IPC L3200 RF Match 850 Branson/IPC Model Reactor Center S2005‐11020 Barrel Asher Etcher 851 Branson/IPC PM 119 Generator 852 Branson/IPC PM 119 RF Generator 853 Branson/IPC PM‐921C‐TEMP Controller And DIONEX GAS Plasma Systems PM119‐500 RF 854 Branson/IPC Power Distribution  PN 12255‐G Branson/IPC 3001, 3000 AWD‐D‐3‐3‐3 855 Branson/IPC Power Distribution LP P/N 12255‐G AWD‐D‐3‐2‐1 856 Branson/IPC Reactor Center PM‐11020 Plasma  Asher Plasma Cleaner Plasma Descum 857 Branson/IPC Reactor Center S3003‐1813 With 3000C Controller Plasma Asher Descum 858 Branson/IPC S2100T‐11220 Plasma Asher Plasma Cleaner Dry Asher Plasma Descum 859 Branson/IPC S3000 Controller 1 Gas 860 Bridgeport Machines Inc J259536 W/ ACU•RITE III Series I 2HP  ID‐NMA‐013 861 Bridgeport Machines Inc J‐99441 Model No 100991 ID‐NMA‐012 862 Broadway Networks Inc 35‐00001‐01 /35‐00002‐01/ 35‐00003‐01 AWM‐G‐4‐1‐007 863 Broadway Networks Inc 701‐00001‐01 No Components On The PCB AWM‐G‐4‐1‐006 864 Broadway Networks Inc 701–00002 iST 94V‐0 0624 IM‐0  AWM‐G‐4‐1‐002 865 Broadway Networks Inc 701‐00003 AWM‐G‐4‐1‐005 866 Broadway Networks Inc 701–00006 06‐4640 AWM‐G‐4‐1‐003 867 Broadway Networks Inc 701‐00009‐01 /0098‐90AWM‐G‐4‐1‐002 868 Brooks Automation ? Model 101‐0650 Model Frog Robot  ID‐AWM‐D‐5‐004 869 Brooks Automation ESC‐200  Firmware Version V4.24A Robot Controller 870 Brooks Automation Model 4,666,36 4‐666‐36 Series No F‐0974 ID‐AWM‐D‐5‐003 871 Brooks Automation Model NO 6100‐87 PN 1085‐0007 For Matrix 105 Matrix 106 872 Brooks Equipe PRI ASYST ESC‐200 ? 12919‐002 Robot Controller AWR‐040‐AA‐9‐002 873 Brooks Equipe PRI ATM‐104‐1 ? ATM‐4456 Robot 810‐04306R 3‐AXIS 4 5 Inch AWR‐020 874 Brooks Equipe PRI ATM‐104‐1 ? ATM‐5200 Robot AWR‐035 875 Brooks Equipe PRI ATM‐104‐1 ? Brooks 6‐0002‐0048‐S Robot 3‐AXIS 4 5 Inch AWR‐022 876 Brooks Equipe PRI ATM‐104‐1 Robot  3‐AXIS 4 5 Inch AWR‐021 877 Brooks Equipe PRI ATM‐104‐1 Robot AWR‐011 878 Brooks Equipe PRI ATM‐104‐1 Robot AWR‐019 879 Brooks Equipe PRI ATM‐104‐1‐S Beooks 6‐0001‐0513‐SP  Robot AWR‐018 880 Brooks Equipe PRI ATM104‐1‐S‐CE‐S293 / ATM‐104‐1‐S‐CE‐S293 Robot AWR‐025 881 Brooks Equipe PRI ATM‐105‐1‐CE  Robot AWR‐034 882 Brooks Equipe PRI ATM‐105‐1‐CE P/N 45‐014824 Robot AWR‐012 883 Brooks Equipe PRI ATM‐105‐1‐S‐CE / ATM105‐1‐S‐CE ? ATM‐4740 Robot AWR‐027 884 Brooks Equipe PRI ATM‐105‐1‐S‐CE / ATM105‐1‐S‐CE Robot AWR‐026 885 Brooks Equipe PRI ATM‐107‐1‐S‐CE / ATM107‐1‐S‐CE ?ATM‐1965 Robot AWR‐028 886 Brooks Equipe PRI ATM—204‐1‐S‐CE ATM204‐1‐S‐CE AWR‐024 887 Brooks Equipe PRI ATM‐204‐1‐S‐CE Robot AWR‐041 888 Brooks Equipe PRI ATM‐5430 Robot 3‐AXIS AWR‐023 889 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I AWR‐036 890 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I/II AWR‐038 891 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  II AWR‐037 892 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐001 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 19 sales@semistarcorp.com
  • 20. 893 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐002 894 Brooks Equipe PRI Robot Controller ECS‐200 AWR‐040‐AA‐10‐004 895 Brooks Equipe PRI Robot Controller ECS‐200 Rev 2.0 AWR‐040‐AA‐9‐001 896 Brooks Equipe PRI Robot Controller ECS‐200 V4.24A AWR‐040‐AA‐10‐003 897 Brooks Equipe PRI Robot Controller ESC‐212 For ATM‐100 AWR‐040‐AA‐8‐001 898 Brooks Equipe PRI Robot Controller ESC‐218BT‐S293 AWR‐040‐AA‐9‐003 899 Brooks Equipe PRI Robot Controller ESC‐218V Firmware V4.4913AV AWR‐040‐AA‐8‐002 900 Brooks PRI Equipe Technologies 2‐08‐1004 Layer 1 PN 2002‐0004 ? AWR‐039‐CC‐1‐005 901 Brounley Engineering Mode BE1113 13 Mhz RF Generator AWD‐D‐1‐5‐6‐001 902 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD‐D‐1‐5‐6‐002 903 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD‐D‐1‐5‐6‐003 904 Bryant Locking Male Base TOMA CON TRABA BASE MACHO 70615MB 905 Bryant Midget Locking Male Base ,2 Pole 3 Wire Grounding 7595MB 906 BS CSP 140X190 448 S/N:05 /04/06 907 Burr‐Brown TM25‐300HT Farco ID‐AWM‐D‐2‐2‐003 908 Burr‐Brown TM25‐300HT Farco ID‐AWM‐D‐2‐2‐003‐2 909 C&C Power INC Battery Disconnect Panel , Custom Engineered Power Products 910 C&K(6)CR A Series 2.5 A 125VAC Key 911 C04476  Window 12" Reactor Quartz Window For Branson IPC 3000, 2000, 4000 Series 912 Cable  For Thin Film Deposition Equipment 913 Cable  With Fitting For Thin Film Deposition Equipment 914 Cable , Temp,For Thin Film Deposition Equipment 915 Cable 0001‐00288‐00 For Thin Film Deposition Equipment 916 Cable 0150‐09514 for Matrix 105 Matrix 106 Matrix 303, Matrix 403 917 Cable 1070‐0140 for Matrix 105 Matrix 106 Matrix 303, Matrix 403 918 Cable 1070‐0181 for Matrix 105 Matrix 106 Matrix 303, Matrix 403 919 Cable 1070‐0193 for Matrix 105 Matrix 106 Matrix 303, Matrix 403 920 Cable 16879‐02 C Branson/IPC ? AWD‐3‐1‐8‐004 921 Cable 1766‐01 C Branson/IPC ? AWD‐3‐1‐8‐005 922 Cable 96‐0181 Rev A Z‐BOT For Gasonics Aura 3010 AWD‐D‐3‐1‐15‐004 923 Cable Branson/IPC? 924 Cable For Matrix 105 Matrix 106 Matrix 303, Matrix 403 925 Cable For Thin Film Deposition Equipment 926 Cable For Thin Film Deposition Equipment 927 Cable For Thin Film Deposition Equipment 928 Cable For Thin Film Deposition Equipment 929 Cable For Thin Film Deposition Equipment 930 Cable For Thin Film Deposition Equipment 931 Cable For Thin Film Deposition Equipment 932 Cable For Thin Film Deposition Equipment 933 Cable For Thin Film Deposition Equipment 934 Cable For Thin Film Deposition Equipment 935 Cable For Thin Film Deposition Equipment 936 Cable With Fitting For Thin Film Deposition Equipment 937 Cable With XUP‐J203135 For Thin Film Deposition Equipment 938 Cable With XUP‐J203135 For Thin Film Deposition Equipment 939 Cable/wire For Thin Film Deposition Equipment w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 20 sales@semistarcorp.com
  • 21. 940 Cables For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐12‐012 941 Cajon VCR Fitting 316L‐4‐HVCR‐3AS6 Lot 4 ID‐AWS‐026 942 Cal 9900 Temperature Controller ID‐AWM‐A‐3–4‐002 943 Caleb CM1.12.500‐115 Power Supply AWM‐F‐2‐4‐005 944 Canon  Neutronix PLA501 Front & Back Aligner, 3 Wafer 945 Canon BH4‐0223‐03 BG6‐0123 PCB06M For Canon pLA‐501 F Mask Aligner 946 Canon BH4‐0224‐03 BG6‐0124 PCB07 For Canon pLA‐501 F Mask Aligner 947 Canon BH4‐0225‐03 BG6‐0125 PCB08 For Canon 501 F Mask Aligner 948 Canon BH4‐0226‐02 BG6‐0126 PCB09 For Canon 501 F Mask Aligner 949 Canon BH4‐0226‐03 BG6‐0126 PCB09 For Canon 501 F Mask Aligner 950 Canon BH4‐0227‐04 BG6‐0127 PCB10 For Canon 501 F Mask Aligner 951 Canon BH4‐0227‐04 BG6‐0127 PCB10 For Canon 501 F Mask Aligner 952 Canon BH4‐0228‐02 BG6‐0128 PCB11 For Canon pLA‐501 F Mask Aligner 953 Canon BH4‐0228‐03 BG6‐0128 PCB11 For Canon pLA‐501 F Mask Aligner 954 Canon BH4‐0310‐01 BG6‐0197 PCB For Canon pLA‐501 F Mask Aligner 955 Canon BH4‐0605‐02 BG6‐0586 PCB06F For Canon PLA‐501 F Mask Aligner 956 Canon Neutronix PLA‐545 UV Mask Aligner 957 Canon PLA‐501 F Parallel Light Mask Aligner 958 Canon PLA‐501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner 959 Canon PLA‐501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner 960 Cassette Holder For Gasonics Aura 2000 Lam Rainbow 4420 4428 4520 AWD‐D‐1‐5‐4 961 Cassette Station 2AG, Klk Inc For AG Asscoiates Heatpulse 8108 Intel 1set 962 CBP8‐XT LE‐1‐0 2399 REV 1.2 912000187 DIVERSIFIED RECHNOLOGY 6512000159 963 CC1‐B0‐24‐425‐34R‐D 964 CE 454‐8 Rev D VECTOR 3690‐16 PCB 965 CE 4896 IDEG21 FCC ID EUD SU9 BRI4632 61216 16 PN4632 E128381 KE AWW‐10‐2‐6‐003 966 CECOMP Electronics Model F16DA400INH2OVAC 0‐400.0 INH2OVAC Digital Pressure Gaug 967 Cecomp Falcon WMPSK Wall‐mount Power Supply Kit 115VAC/12VDC 968 Century Electronics PN DM74S473AN +B8504, in ST071 package 969 Ceramic Parts For Lam Researcher? Varian? AMAT ? ID‐AWS‐003 970 Ceramic Ring 10.4335”OD X 1.6” Thk UHV Clean For STS ICP STS PRO ICP 971 Ceramic Ring For Matrix 302 Matrix 303 Matrix 403 Plasma Etcher AWD‐D‐1‐1‐5‐002 972 Ceramic Ring Shield PN 1C7367  UHV Clean For STS ICP STS PRO ICP 973 CF6.75 to CF3.375 Adaptor zero nipple 974 CHA 2KW QT&E 1627 60 Hz 87‐21 Transformer ID‐DZ‐7‐007 975 CHA Industries Panel With Cables / Wires  For Thin Film Deposition Equipment 976 CHA Industries Thermal Evaporator System 977 CHA ION Tube Type IG100N AWD‐D‐1‐4‐4‐003 978 CHALLENGER Boyar‐Schultz , An Esterline Company, H612 Handfeed ID‐NMA‐019 979 Chamber 9995‐99629, AMU1A‐20 Trazar , 0101‐0724 For Matrix 10  Asher AWD‐D‐3‐4‐1 980 Chamber Door Assy W/ BIMBA Cylinder, A01‐173‐02 A , A05‐043‐02 AWD‐D‐2‐8‐14‐002 981 Chamber For Gasonics Aura 3010 Plasma Asher Plasma Clean AWD‐D‐2‐3‐002 982 Chamber Parts AWM‐C‐4‐1‐002 983 Chamber Parts AWM‐C‐4‐1‐003 984 Chamber Plate,mount ERP Pyrometer For Heatpulse 8108 ,8800,4108,8008 985 chamber Station Assembly, AG Associates Heatpulse 4100, Heatpulse 4100S 986 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD‐D‐2‐8‐8 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 21 sales@semistarcorp.com
  • 22. 987 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD‐D‐3‐1‐3‐001 988 CHARMILLES GENEVE Type 430 SN 42501 ,made In Switzerland, ID‐NMA‐004 989 Chuck  For Canon 501 F Mask Aligner? 990 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐1 991 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐2 992 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐3 993 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐4 994 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐5 995 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐6 996 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐7 997 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐2‐8 998 Chuck 5” ? For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐3‐1 999 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐4‐2 1000 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐4‐3 1001 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐5 1002 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐6‐1 1003 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID‐AWW‐7‐1‐5‐6‐2 1004 Chuck Bottom  AWM‐C‐5‐6 1005 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐1 1006 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐2 1007 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐3 1008 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐4 1009 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW‐AWW‐7‐1‐5‐1‐5 1010 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐6 1011 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐7 1012 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐8 1013 Chuck For EG 1034 EG 2001 EG 3001 PN 033‐0100‐01 ?Wafer Probe AWW‐AWW‐7‐1‐5‐1‐9 1014 Chuck, Part Number 42‐0298 (?) AWD‐D‐1‐1‐5‐001 1015 CHUO SEIKI Microscope Station Zoom 0.75x‐4.5x AWW‐6‐3‐012 1016 CIT‐ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD‐1‐14 1017 CIT‐ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD‐1‐15 1018 CKD DC‐24v Model AG3X 1019 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s 1020 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s 1021 Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300‐0599‐01 ,7300‐0420‐01 1022 Clippard Minimatic Lock‐in 4‐way R431 ID‐AWS‐011 1023 Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID‐AWS‐026 1024 CLTC‐4242‐SM 18108‐01‐R‐A, STK‐2503‐HV 1025 CLTC‐5975‐HV 18108‐01‐R‐A 1026 CM192 1027 CM430890 F549105F PRX Made In Japan 1028 COHU MOD 1322‐1000/0000 Camera ID‐AWW‐6‐2‐3‐003 1029 Com Card For AG Associates RTP 1030 Comdel Inc CPS‐1000‐M 13.56MHz RF Power Source For Matrix 10 Asher AWD‐D‐3‐3‐4 1031 Component Side A/N290102‐400C AWM‐B‐4‐5‐006 1032 Component Side B/N A/N290103‐200 Rev‐A AWM‐B‐4‐5‐003 1033 Compumotor M57‐40‐DO ID‐AWM‐F‐1‐001 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 22 sales@semistarcorp.com
  • 23. 1034 Compumotor M57‐51 ID‐AWM‐F‐1‐001 1035 Computer Dynamics ID‐AWW‐8‐2‐1‐002 1036 Connector Station For Gasonics Aura 3010 3000 Aura 2000LL? AWD‐D‐3‐1‐7‐009 2/2 1037 Control Box Signal Box 1038 Cooltech Coolant Recharge Machine Robinair Model 25200B ID ‐AWM‐A‐4‐004 1039 Copper 3 Inch Elbow ID‐AWS‐026 1040 Coppy MHY Bath 24 Liter,2 Bathes, Coppy MHY Plating Solution 1041 Corning Laboratory Stirrer Model PC‐210 1042 Corning PYREX 3160‐100BQ Dish , Culture, Petri, Bottom Only 100x10mm 8Pieces Let 1043 Corning PYREX 9985‐100 Watch Glass 7740 Glass 8 PC/ Pack 1044 Corning PYREX 9985‐150 Watch Glass 7740 Glass 7 Pieces Left 1045 Corning PYREX 9985‐65 Watch Glass 7740 Glass 5 PC/ Pack 1046 Corning PYREX Disposable Glass Pipettes 15253677 1047 Corning PYREX No 7103C TC/TD 20C  25+/‐0.3mL, 12PC Package 1048 Cotton Tip SWABS 1049 Cotton Tip SWABS SW896WC 1050 Cover For Thin Film Deposition Equipment 1051 Cover For Thin Film Deposition Equipment 1052 Cover, Cable With Sensor For Thin Film Deposition Equipment 1053 C‐PT 5WZ49 spec 169830A, 2" P1559LG, 100PSI/700KPA,1/4NPT CBM 1054 CTI‐Cryogenics 8200 Compressor AWD‐D‐3‐2‐2 1055 Cutler‐Hammer DH361UGK Power Box 1056 Cutler‐Hammer DH361UGK Power Box 1057 CVC Power Supply For Temescal FC‐1800 Evaporator DP‐4‐2 1058 CVC Products AST‐601 Vacuum Sputter Deposition System 1059 CYBEX PC‐COMPANION Plus With/without Cable 7100‐2390‐06 AG Associates Heatpulse 1060 CYBEX PC‐COMPANION Plus With/without Cable 7100‐3389‐03 AG Associates Heatpulse 1061 Cylinder 10 ML  SP Scienceware Cylinder, PP, Graduated , 10ml 1062 Cylinder 100 ML  SP Scienceware Cylinder, PP, Graduated , 100ML 1063 Cylinder 1000 ML SP Scienceware Cylinder, PP, Graduated , 1000ml 1064 Cylinder 25 ML  SP Scienceware Cylinder, PP, Graduated , 25ml 1065 Cylinder 250 ML  SP Scienceware Cylinder, PP, Graduated , 250ML 1066 Cylinder 50 ML  SP Scienceware Cylinder, PP, Graduated , 50ML 1067 Cylinder 599 ML  SP Scienceware Cylinder, PP, Graduated , 500ML 1068 Data Transfer Switch  , ATP Cal, Terminal, SECS, Robot, AG Associates Heatpulse 1069 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse 1070 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse 1071 Data Transfer Switch  , Run Model, Teach Model, AG Associates Heatpulse 1072 Data Transfer Switch  AG Associates Heatpulse 1073 DATA Transfer SWITCH A B Run Model Teach Model AG Associates 1074 DCA F‐35‐1‐25‐0 Simpson Electric ID ‐AWM‐F‐1‐028 1075 DCA F‐45‐1‐25‐0 Simpson Electric ID ‐AWM‐F‐1‐027 1076 DCA Simpson Electric ID ‐AWM‐F‐1‐028 1077 DE10002A208V Softswitching Used With SST Same Model ID‐AWM‐D‐2‐2‐002 1078 Digital Equipment Corp 50‐19588‐01 A01 T 11292 , 54‐19589‐01 AWW‐10‐2‐6‐005 1079 Disco DFL 7160 Laser Saw 1080 Display Item CD 1011 ‐00301 With 99‐125‐004 /008 Tegal PCB AWM‐G‐5‐1‐011 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 23 sales@semistarcorp.com
  • 24. 1081 Dodge / Scan Estimator 24 Mark V Projection ID‐NMA‐024 1082 Door With Cylinder For Gasonics Aura 2000‐LL AWD‐D‐2‐8‐5‐003 1083 DT326005 MOD3 Uniformity Shield 75mm UHV Clean For STS ICP STS PRO ICP 1084 DT326005 Uniformity Shield 50mm UHV Clean For STS ICP STS PRO ICP 1085 DUNIWAY KF16 Flange 1/8" KF16‐1/8 1086 DUNIWAY KF25 Flange KF25‐EL90 1087 DUNIWAY KF25 Flange, 1.00" KF25‐100 Socket Weld 1088 DUNIWAY KF40 Flange 1.00" KF40‐100 1089 DUNIWAY KF40 Flange KF40‐TE 1090 DUNIWAY KF40 Flange, Flex Coupling, 20" Long, KF40‐FX20 1091 Duniway Metal Clamp ID‐AWS‐022 1092 Duniway Metal Clamp LF160‐DCC LF200‐DCC ID‐AWS‐023 1093 Duniway Metal Clamp LF160‐SCC LF200‐SCC ID‐AWS‐023 1094 Duniway Stockroom Corp GD‐IGH‐10‐843 Bakeable Ion Gauge Cable For GP 270,271,280 1095 Duniway Stockroom Corp I‐100‐K Tungsten, 1” Kovar, Glass Ion Gauge Tube, New 1096 Duniway Stockroom Corp KF40‐BCP 1097 Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra‐809 1098 Duniway Stockroom I‐075‐K Glass Ion Gauge AWD‐D‐1‐4‐4‐007 1099 Duniway Stockroom T‐075‐P Glass Ion Tube AWD‐D‐1‐4‐4‐005 1100 Duniway Stockroom Thermocouple Vacuum Gauge Type 0531 TC Vacuum Gauge 1101 Dwyer VFC Series 690 Kpa 100 Psi ID‐AWS‐025 1102 Dynatex International DX‐III Scriber Breaker ID‐AWS‐7‐5 1103 E 113283 SPST Kobold Type 41R57 , 52‐62 And 60‐65 1104 E Assy 113261 Rev C Fab 113260c Teltec 01V 1591 21010003 1105 E Chuck 2 Inch For STS ICP STS PRO ICP 1106 E_T.N M4032 Assy No 1519540 B 1419540 PCB AWM‐B‐4‐4‐007 1107 E2K‐C25MF1 Capacitive Proximity Switch Made In Japan 1108 EATON 7196‐0018‐0001 SST Tube Connector 1109 Ebara Control Panel T496680 Rate 2 DC24v ID‐AWS‐K‐2‐003 1110 Edwards   QDP 40 ? 80? With Blower 1250? AWR‐030 1111 EDWARDS A590‐00‐945 IH80‐MK5 200‐208V 50 ‐60HZ 1112 Edwards Dry‐Star Controller S1400 D37201000 ID ‐AWM‐A‐5‐005 1113 Edwards High Vacuum Pump E2M40FSPX SN 3804 1114 Edwards IQDP40 Dry Pump 1115 Edwards Mechanical Booster Model EH250 For Vacuum Pump AWD‐D‐1‐2‐002 1116 Edwards Model 655 AB 100 Millitorr Pressure Transducer W655‐16‐611 ID‐AWS‐019 1117 Edwards Type Code A528‐06‐000 QDP Exhaust Pressure Module ID‐AWM‐D‐2‐3‐001 1118 EG 1034 Wafer Probe Option D AWW‐9‐2‐6‐6 1119 EG 2001 Probe Wafer Chuck 1120 EICO Job #1321 Cypress/Mospro Motherboard R3 W/ Adaptor For HP4085B? AWW‐6‐3‐011 1121 EISPAN 5626 A Label 23‐0560 SPT200 Series 1122 EISPAN 5626 A Label 23‐0560 SPT200 Series 1123 ELB Grinders Corp Type SPA 2040‐ND , No: 16847058 , SCHLIFF ID‐NMA‐005 1124 ELB Grinders Corp Type SWBE 010NPC‐K , No: 209030489, SCHLIFF ID‐NMA‐006 1125 Electro Craft Servo Products E‐652‐0 9092‐0004 AMPL ID‐AWM‐D‐1‐005 1126 Electro Powerpacs Model 1113  Vickers Instruments AWM‐F‐2‐1‐003 1127 Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM‐F‐2‐1‐002 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 24 sales@semistarcorp.com
  • 25. 1128 Electro‐craft Servo Products Model E‐652‐0 Part No 9092‐0004 AMP AWM‐F‐2‐2‐001 1129 ElectroGlas 05024036 244273‐001 H/ CRT Conteoller Assy 244274‐001 AWW‐10‐2‐6‐020 1130 Electroglas 2001X Inker / Probe Station with Navitar‐ Motorized Zoom Control Box 1131 Electroglas 2001X Wafer Probe 1132 Electroglas 2001X Wafer Probe 1133 Electroglas 2001X Wafer Probe 1134 Electroglas 2001X Wafer Prober 1135 ElectroGlas 28V Solenoid Drivers Assy 114824‐001 Rev A WP86041959 AWW‐10‐2‐7‐001 1136 Electroglas 4090u+ Wafer Probe 1137 ElectroGlas A1 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐007 1138 ElectroGlas A1 CPU 020 Assy 251411‐002 REV E FAB 251410‐001 A AWW‐10‐2‐7‐008 1139 ElectroGlas A1 CPU 020 Assy 251411‐002 Rev E FAB 251410‐001 B AWW‐10‐2‐7‐016 1140 ElectroGlas A1 Memory BD Assy 244842‐001 Rev B FAB 244841‐001 A AWW‐10‐2‐7‐011 1141 ElectroGlas A1 Power DAR Assy 244425‐001 Rev L AWW‐10‐2‐16‐001 1142 ElectroGlas A1 System Memory Assy 248981‐001 C FAB 248980‐001!A AWW‐10‐2‐7‐019 1143 ElectroGlas A1 System Memory Assy 248981‐001 Rev A FAB 248980‐001 AWW‐10‐2‐7‐012 1144 ElectroGlas A1 System Memory Assy 248981‐002 C R? FAB 248980‐001 AWW‐10‐2‐7‐010 1145 ElectroGlas A1 System Memory Assy 248981‐002 Rev C FAB 248980‐001 AWW‐10‐2‐7‐013 1146 ElectroGlas A2 X80112234 MET/ENG DAR 10220008, 100863B/100863J AWW‐10‐2‐6‐014 1147 ElectroGlas A3 CPU BD Assy 244888‐001 C FAB 244889‐001 A 21037001 AWW‐10‐2‐7‐009 1148 ElectroGlas A3 CPU BD Assy 244888‐001 Rev K FAB 244889‐001 Rev C AWW‐10‐2‐7‐014 1149 ElectroGlas A3 CPU BD Assy 244888‐001 Rev L FAB 224889‐001 C AWW‐10‐2‐7‐018 1150 ElectroGlas A3 X80101206 MET/ENG DAR RON1685 100863B/100863J AWW‐10‐2‐6‐013 1151 ElectroGlas A4 Assy 100254‐E Teltec X80061992 FAB 100253 Rev C AWW‐10‐2‐6‐012 1152 ElectroGlas A4 Assy 244288‐001 ,21031002, 244287 Rev D AWW‐10‐2‐5‐006 1153 ElectroGlas A4 Tester Interface 244288‐001 Rev A A 21010039 AWW‐10‐2‐6‐018 1154 ElectroGlas A4 Tester Interface 244288‐001 Rev A D 21009086 AWW‐10‐2‐6‐017 1155 ElectroGlas A4 Tester Interface 244288‐001 Rev A D 21012056 AWW‐10‐2‐6‐016 1156 ElectroGlas A5 Theta Z Function II  Assy 245023‐001 Rev F AWW‐10‐2‐5‐005 1157 ElectroGlas A5 Theta Z Function II Assy 245023‐001 K AWW‐10‐2‐13‐008 1158 ElectroGlas A5 Theta Z Function II Assy 245023‐001 k AWW‐10‐2‐13‐010 1159 ElectroGlas A5 Theta Z Function II Assy 245023‐001 M AWW‐10‐2‐13‐009 1160 ElectroGlas A5 Theta Z Function II Assy 245023‐001 R AWW‐10‐2‐13‐007 1161 ElectroGlas A5 Theta Z Function II Assy 245023‐001 Rev D AWW‐10‐2‐13‐004 1162 ElectroGlas A5 Theta Z Function II Assy 245023‐001 Rev H AWW‐10‐2‐13‐002 1163 ElectroGlas A5 Theta Z Function II Assy 250262 AWW‐10‐2‐13‐005 1164 ElectroGlas A5 Theta Z Function II Assy 250262‐001 Rev D AWW‐10‐2‐13‐003 1165 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW‐10‐2‐13‐011 1166 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW‐10‐2‐13‐012 1167 ElectroGlas A5 Theta Z Joystick Assy 250262 H AWW‐10‐2‐13‐006 1168 ElectroGlas A6 Material Handling Logic Assy XA6A 244636‐002 H AWW‐10‐2‐13‐001 1169 ElectroGlas A8 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐006 1170 ElectroGlas A8 AssY GMS6529‐01‐C Floppy/Winchester , Controller AWW‐10‐2‐7‐017 1171 ElectroGlas Assy 100257 FAB 100256 X9121653 Extension Board ? AWW‐10‐2‐16‐002 1172 ElectroGlas Assy 250262, P95197 THETA Z JOYSTICK AWW‐10‐2‐6‐015 1173 ElectroGlas CRT Conteoller Assy 947271 TB008 FAB 947272 AWW‐10‐2‐6‐021 1174 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐4‐006 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 25 sales@semistarcorp.com
  • 26. 1175 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐5‐010 1176 ElectroGlas CRT Controller Lamp Driver Assy 250259‐001 C AWW‐10‐2‐5‐011 1177 ElectroGlas EG 1034 PC Upgrade Board  AW‐1034‐001 AWW‐10‐2‐14 1178 ElectroGlas EG 1034 PC Upgrade kit AWW‐10‐2‐10 1179 Electroglas EG 1034 Prober 1180 ElectroGlas EG 1034 Upgrade Board 21‐0004‐1034‐003’AW‐1034‐003 Ver E AWW‐10‐2‐12 1181 ElectroGlas EG 1034 Upgrade Board S2100‐1034‐005 AW‐1034‐005 Ver 9.0 AWW‐10‐2‐11 1182 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐8 1183 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐9‐1 1184 ElectroGlas EG 1034 Upgrade kit S2100‐1034‐006 AW‐1034‐006 Ver 9.0 9AWW‐10‐2‐9‐2 1185 ElectroGlas EG 2001 EG2010 PSM‐C Power Supply Module  AWW‐10‐4‐4 1186 ElectroGlas EG 2001 Wager Probe Disk Driver AWW‐10‐3‐7 1187 ElectroGlas EXTENDER Assy 100024  ,X603373, FAB 100023 Rev b AWW‐10‐2‐6‐027 1188 ElectroGlas EXTENDER Assy 100028 , X80041041 , FAB 100027 Rev b AWW‐10‐2‐6‐025 1189 ElectroGlas EXTENDER Assy 100028 , X80102172, FAB 100027 Rev b AWW‐10‐2‐6‐024 1190 ElectroGlas EXTENDER Assy 100028 , X82042939, FAB 100027 Rev b AWW‐10‐2‐6‐023 1191 ElectroGlas EXTENDER Assy 100257 , 11180471, FAB 100256 Rev b AWW‐10‐2‐6‐026 1192 ElectroGlas Keyboard Assy 244294‐001 D , 21033016, WP9050620 AWW‐10‐2‐6‐022 1193 ElectroGlas Memory BD Assy 244842‐xxx FAB 244841‐001 A Teltec AWW‐10‐2‐7‐015 1194 Electroglas Model 3001X EG 3001X Wafer Probe 1195 Electroglas Model 3001X EG 3001X Wafer Probe 1196 ElectroGlas PL TEMP LOGIC MUX Assy 246713‐001 Rev K  AWW‐10‐2‐6‐019 1197 ElectroGlas PRE‐Align Driver Assy 244639‐002 Rev K FAB 244638‐001 AWW‐10‐2‐7‐003 1198 ElectroGlas Theta Z Inker Deivers Assy 244736‐001 Rev F AWW‐10‐2‐7‐002 1199 ElectroGlas Theta Z Inker Drive Controller II Assy 948775 AWW‐10‐2‐7‐004 1200 ElectroGlas Theta Z Inker Drive Controller II Assy 948775‐002 E AWW‐10‐2‐7‐005 1201 ElectroGlas Wafer Probe 100936 Assy C 100935 FAB, AWW‐10‐2‐5‐008 1202 ElectroGlas Wafer Probe X80092111 100936 Assy E 100935 FAB, AWW‐10‐2‐5‐009 1203 ElectroGlas Wafer Sense Interface Assy 247204‐001 Rev E AWW‐10‐2‐6‐008 1204 Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4 1205 Electrothermal Cat No 228 Power Regulator AWM‐F‐2‐1‐005 1206 ELOGRAPHICS 170020 , ASSM 002201 1207 ELOGRAPHICS Inc E271‐2201 PCB P/N 170020 Rev B ,Assm P/N 002201 A AWW‐10‐2‐6‐010 1208 ELOGRAPHICS INC PCB P/N 170020 REV B ASSM PN 002201 1209 ENI Model VL‐400M1 Phase Shift Controller For STS ICP Equipment 1210 ENI OEM‐25 RF Generator  Solid State Power Generator DP‐4‐1 1211 Enterprise Systems 10764‐UMC PN 706015 A/W 900178 AWD‐D‐1‐1‐7‐010 1212 Equipe Pre‐Aligner I/O Control Board Ver 2C 2002‐0066 2008‐1026 AWR‐039‐CC‐6‐001 1213 Equipe PRI ATM‐104 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐013 1214 Equipe PRI ATM‐104‐1 ?ATM‐4394 For AG  Associates Heatpulse 8108 Robot AWR‐017 1215 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐014 1216 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐015 1217 Equipe PRI ATM‐104‐1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR‐016 1218 Equipe PRI Brooks Automation Robot ATM‐105 1219 Equipe PRI Brooks Controller Module AWR‐039‐BB‐3‐2‐001 1220 Equipe PRI Brooks Controller Module AWR‐039‐BB‐3‐2‐002 1221 Equipe PRI Robot Controller ECS‐100 4100‐0068‐019 AG Associates ID‐HG‐5‐001/2/3 w w w .sem istarcorp.com Contact us for the availability of the items.Appreicate your time! sales@semistarcorp.com Contact us for the availability of the items.Appreicate your time! 26 sales@semistarcorp.com