SlideShare a Scribd company logo
1 of 3
Download to read offline
sales@semistarcorp.com www.semistarcorp.com
sales@semistarcorp.com www.semistarcorp.com
1
1
Heatpulse 4108 Rapid Thermal Processor
Model: Heatpulse 4108
Application: Rapid Thermal Process
Maker: AG Associates
Type: Automatic Single wafer
Condition: Used. Refurbished
Specifications: Meet AG Associates’ new Heatpulse 4108 specifications
Wafer size: 3 to 8 inch capability. Customer will specify the wafer size
Temperature: 400-1100 °C with ERP Pyrometer. Maximum 1250 °C(Not
recommend)
Gas lines: 2 gas lines with 2 MFC. N2,O2 or Ar.
Price: Pls email us with your RFQ in detail. Appreciate your time.
Price Term: Exworks. Buyer is responsible for shipping. FOB/CIP is optional at extra cost.
Crating: Included
Payment Term: 50% against PO, 50% before shipping. Other payment terms are optional depending on
buyer’s credit.
Installation & Training if necessary: Optional at extra cost.
Lead time: 8 to 12 weeks depending on PO time and 1st
payment.
Valid Time: Subject to prior sale without notice.
Warranty: 13 months Ex-works non-consumable parts warranty after shipping or 12 months after
acceptance. The shortest one.
Facility: Buyer’s responsibility.
Sales tax, custom duty and tariff if applicable: Buyer’s responsibility.
sales@semistarcorp.com www.semistarcorp.com
sales@semistarcorp.com www.semistarcorp.com
2
2
Options: Buyer will make choice before the PO.
1) TC for 200-400 °C
2) Susceptor load/unload station
3) Pre-Aligner
4) New Al chamber
5) 3/4/5/6 inch susceptors
6) Chiller for ERP Pyrometer
7) TC wafer for ERP Pyrometer calibration
8) Omega Meter for ERP Pyrometer calibration
9) 3rd
, 4th
MFC
10) Spare Parts: Isolated Quartz Tube, Quartz Tray, Lamps, PCBs, Robot, Controller, O Rings, Fuses
etc.
Description and Configuration:
The AG Associates Heatpulse4108 rapid thermal anneal system contains a subsystem for each of
the following:
• Electronics (including a dedicated microprocessor)
• Mass-flow-controlled gas handling
• Cooling
• ULPA filtration
• Mechanical assemblies
Software programs, called recipes, specify the details for each process. The 4108 system includes
a 3-1/2-inch floppy disk drive for process recipe storage. A three-axis industrial robot (Equipe
ATM-105 with ESC-100 or equivalent) automates processing by transporting wafers into and out
of the process chamber. It uses closed-loop feedback for precise motion control and accurate
positioning.
To provide cold-wall processing, water is circulated through the process-chamber walls. The quartz
isolation tube is cooled with nitrogen or compressed air.
Specifications:
Wafer sizes: 3/4/5/6/8 inch capability(standard).
Ramp-up rate: Programmable, 1 – 180°C per second.
sales@semistarcorp.com www.semistarcorp.com
sales@semistarcorp.com www.semistarcorp.com
3
3
Steady-state duration: 1 – 600 seconds per step.
Ramp-down rate: Programmable, 1 – 180°C per second. Ramp-down rate is temperature and
radiation dependent, maximum 150°C per second.
Recommended steady-state temperature range: 400 – 1200°C.
ERP temperature accuracy: +5°C to -9°C, when calibrated against an instrumented thermocouple
wafer (ITC).
Temperature repeatability: + 7°C or better at 1150°C wafer to wafer. (Repetition specifications are
based on a 100-wafer set.)
Temperature uniformity: + 10°C across an 6-inch wafer at 1150°C. (This is a 1-sigma deviation
from 100-angstrom oxide uniformity.) For a titanium silicidation process, no more than 1.5 percent
increase to uniformity during the first anneal at 650 – 700°C.
Physical Dimensions:
Width: 40 in. (102 cm)
Depth 42 in. (107 cm)
Height 82 in. (208 cm)
Weight:: 1800 lbs (816 kg)
Shipping weight:: 2000 lbs (907 kg)
Utility Requirements
Power / Water Type Refer to the Heatpulse® 4100 Facilities Manual.
Domestic: 208 VAC, 60 Hz; 125 A maximum;3-phase plus ground and neutral
European: 400 VAC, 50 Hz; 90 A maximum;3-phase plus ground and neutral
Japanese: 200 VAC, 50/60 Hz, 125 A maximum; 3-phase plus ground
The Heatpulse 4108 may be subject to the export control laws of the United States and other countries.
Buyer will comply with the restrictions of the laws and might need to sign the “Customer Statement”
before PO. The trademarks of the equipment and parts contained in this documents belonged to the Original
Equipment Manufacturers.

More Related Content

What's hot

Rapid thermal-annealing-accuthermo-aw-410
Rapid thermal-annealing-accuthermo-aw-410Rapid thermal-annealing-accuthermo-aw-410
Rapid thermal-annealing-accuthermo-aw-410Heatpulse
 
Rapid thermal-processor-accuthermo-aw-610
Rapid thermal-processor-accuthermo-aw-610Rapid thermal-processor-accuthermo-aw-610
Rapid thermal-processor-accuthermo-aw-610Heatpulse
 
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time  Rapid Thermal Anneal EquipmentAccuThermo AW 820 Long Time  Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time Rapid Thermal Anneal EquipmentPeter Chen
 
AccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal EquipmentAccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal EquipmentPeter Chen
 
Process Digital Bargraph Display for Industrial Control and Automation
Process Digital Bargraph Display for Industrial Control and AutomationProcess Digital Bargraph Display for Industrial Control and Automation
Process Digital Bargraph Display for Industrial Control and AutomationClassic Controls, Inc.
 
Digital Vortex Flowmeters From Yokogawa
Digital Vortex Flowmeters From YokogawaDigital Vortex Flowmeters From Yokogawa
Digital Vortex Flowmeters From YokogawaClassic Controls, Inc.
 
Nrp 0800-1800-hp-t y-un50_00
Nrp 0800-1800-hp-t y-un50_00Nrp 0800-1800-hp-t y-un50_00
Nrp 0800-1800-hp-t y-un50_00Oliverh Kalprit
 
Flow Instruments for Test and Measurement Selection Guide by Badger Meter
Flow Instruments for Test and Measurement Selection Guide by Badger MeterFlow Instruments for Test and Measurement Selection Guide by Badger Meter
Flow Instruments for Test and Measurement Selection Guide by Badger MeterThompson Equipment Company
 
Rosemount Analytical Hagan Pneumatic Power Positioners
Rosemount Analytical Hagan Pneumatic Power PositionersRosemount Analytical Hagan Pneumatic Power Positioners
Rosemount Analytical Hagan Pneumatic Power PositionersHile Controls of Alabama, Inc.
 
Current To Pneumatic Converter for Process Control
Current To Pneumatic Converter for Process ControlCurrent To Pneumatic Converter for Process Control
Current To Pneumatic Converter for Process ControlClassic Controls, Inc.
 
Wash machine test equipment
Wash machine test equipmentWash machine test equipment
Wash machine test equipmentSangone Kim
 
Wfmc wfxd washer training_2004
Wfmc wfxd washer training_2004Wfmc wfxd washer training_2004
Wfmc wfxd washer training_2004g5857p
 
Jordan valve mark 80 self operated temperature regulator
Jordan valve mark 80 self operated temperature regulatorJordan valve mark 80 self operated temperature regulator
Jordan valve mark 80 self operated temperature regulatorHile Controls of Alabama, Inc.
 
Medidores de turbina blancett
Medidores de turbina blancettMedidores de turbina blancett
Medidores de turbina blancettClaudia Gonzalez
 

What's hot (20)

Rapid thermal-annealing-accuthermo-aw-410
Rapid thermal-annealing-accuthermo-aw-410Rapid thermal-annealing-accuthermo-aw-410
Rapid thermal-annealing-accuthermo-aw-410
 
Rapid thermal-processor-accuthermo-aw-610
Rapid thermal-processor-accuthermo-aw-610Rapid thermal-processor-accuthermo-aw-610
Rapid thermal-processor-accuthermo-aw-610
 
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time  Rapid Thermal Anneal EquipmentAccuThermo AW 820 Long Time  Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
 
Fluke&Farnell webinar
Fluke&Farnell webinarFluke&Farnell webinar
Fluke&Farnell webinar
 
AccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal EquipmentAccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal Equipment
 
Process Digital Bargraph Display for Industrial Control and Automation
Process Digital Bargraph Display for Industrial Control and AutomationProcess Digital Bargraph Display for Industrial Control and Automation
Process Digital Bargraph Display for Industrial Control and Automation
 
Digital Vortex Flowmeters From Yokogawa
Digital Vortex Flowmeters From YokogawaDigital Vortex Flowmeters From Yokogawa
Digital Vortex Flowmeters From Yokogawa
 
Nrp 0800-1800-hp-t y-un50_00
Nrp 0800-1800-hp-t y-un50_00Nrp 0800-1800-hp-t y-un50_00
Nrp 0800-1800-hp-t y-un50_00
 
Flow Instruments for Test and Measurement Selection Guide by Badger Meter
Flow Instruments for Test and Measurement Selection Guide by Badger MeterFlow Instruments for Test and Measurement Selection Guide by Badger Meter
Flow Instruments for Test and Measurement Selection Guide by Badger Meter
 
Ds degassed
Ds degassedDs degassed
Ds degassed
 
Rosemount Analytical Hagan Pneumatic Power Positioners
Rosemount Analytical Hagan Pneumatic Power PositionersRosemount Analytical Hagan Pneumatic Power Positioners
Rosemount Analytical Hagan Pneumatic Power Positioners
 
Current To Pneumatic Converter for Process Control
Current To Pneumatic Converter for Process ControlCurrent To Pneumatic Converter for Process Control
Current To Pneumatic Converter for Process Control
 
Wash machine test equipment
Wash machine test equipmentWash machine test equipment
Wash machine test equipment
 
Rotor Insertion Flow Meter Technical Information
Rotor Insertion Flow Meter Technical InformationRotor Insertion Flow Meter Technical Information
Rotor Insertion Flow Meter Technical Information
 
Wfmc wfxd washer training_2004
Wfmc wfxd washer training_2004Wfmc wfxd washer training_2004
Wfmc wfxd washer training_2004
 
In Situ Oxygen Transmitter For Combustion Monitoring
In Situ Oxygen Transmitter For Combustion MonitoringIn Situ Oxygen Transmitter For Combustion Monitoring
In Situ Oxygen Transmitter For Combustion Monitoring
 
Jordan valve mark 80 self operated temperature regulator
Jordan valve mark 80 self operated temperature regulatorJordan valve mark 80 self operated temperature regulator
Jordan valve mark 80 self operated temperature regulator
 
Variable Area Flow Meter - SeeFlo
Variable Area Flow Meter - SeeFloVariable Area Flow Meter - SeeFlo
Variable Area Flow Meter - SeeFlo
 
Downpipe Liquid Level Sensor Purge Control
Downpipe Liquid Level Sensor Purge ControlDownpipe Liquid Level Sensor Purge Control
Downpipe Liquid Level Sensor Purge Control
 
Medidores de turbina blancett
Medidores de turbina blancettMedidores de turbina blancett
Medidores de turbina blancett
 

Similar to Used AG Associates Heatpulse 4108 Rapid Thermal Processor

AccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing EquipmentAccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing EquipmentPeter Chen
 
New ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing systemNew ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing systemPeter Chen
 
AccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing EquipmentAccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing EquipmentPeter Chen
 
New ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing systemNew ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing systemPeter Chen
 
New ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing systemNew ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing systemPeter Chen
 
Ag associates heatpulse 4108 rapid thermal processing equipment
Ag associates heatpulse 4108 rapid thermal processing equipmentAg associates heatpulse 4108 rapid thermal processing equipment
Ag associates heatpulse 4108 rapid thermal processing equipmentEmily Tan
 
Orto Alresa series_Digtor_22_C_ENG.pdf
Orto Alresa series_Digtor_22_C_ENG.pdfOrto Alresa series_Digtor_22_C_ENG.pdf
Orto Alresa series_Digtor_22_C_ENG.pdfssuserfd0f7b
 
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdf
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdfMHRC-AE-060-VS-01_Submittal_Rev._1.15.pdf
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdfnamnguyen62772
 
Lead free reflow oven s-1000
Lead free reflow oven s-1000Lead free reflow oven s-1000
Lead free reflow oven s-1000Harvey shao
 
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensor
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensorOrbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensor
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensorENVIMART
 
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CA
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CAAJA ATC-2200-UHV deposition system sputtering system system in San Jose CA
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CAEmily Tan
 
Lead free reflow oven s-8800
Lead free reflow oven s-8800 Lead free reflow oven s-8800
Lead free reflow oven s-8800 Quella Fan
 
VORTEX ELECTROMAGNETIC FIELD SYSTEM
 VORTEX ELECTROMAGNETIC FIELD SYSTEM  VORTEX ELECTROMAGNETIC FIELD SYSTEM
VORTEX ELECTROMAGNETIC FIELD SYSTEM NadiiaHubar
 
KEMET Webinar -C44U_C44P-R Power Can Film Capacitors
KEMET Webinar -C44U_C44P-R Power Can Film CapacitorsKEMET Webinar -C44U_C44P-R Power Can Film Capacitors
KEMET Webinar -C44U_C44P-R Power Can Film CapacitorsIvana Ivanovska
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main productsPeter Chen
 
Eta constant temperature and humidity test chamber
Eta constant temperature and humidity test chamberEta constant temperature and humidity test chamber
Eta constant temperature and humidity test chamberMark Tung
 
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodes
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodesOrbisint cps11 cps11 d-endress+hauser datasheet-ph electrodes
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodesENVIMART
 
ATM Tube and Pipe Presentation AMM 2014 conference Applications
ATM Tube and Pipe Presentation AMM 2014 conference ApplicationsATM Tube and Pipe Presentation AMM 2014 conference Applications
ATM Tube and Pipe Presentation AMM 2014 conference ApplicationsAjaxTocco Magnethermic
 

Similar to Used AG Associates Heatpulse 4108 Rapid Thermal Processor (20)

AccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing EquipmentAccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing Equipment
 
New ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing systemNew ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing system
 
AccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing EquipmentAccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing Equipment
 
New ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing systemNew ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing system
 
New ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing systemNew ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing system
 
Ag associates heatpulse 4108 rapid thermal processing equipment
Ag associates heatpulse 4108 rapid thermal processing equipmentAg associates heatpulse 4108 rapid thermal processing equipment
Ag associates heatpulse 4108 rapid thermal processing equipment
 
Orto Alresa series_Digtor_22_C_ENG.pdf
Orto Alresa series_Digtor_22_C_ENG.pdfOrto Alresa series_Digtor_22_C_ENG.pdf
Orto Alresa series_Digtor_22_C_ENG.pdf
 
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdf
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdfMHRC-AE-060-VS-01_Submittal_Rev._1.15.pdf
MHRC-AE-060-VS-01_Submittal_Rev._1.15.pdf
 
Lead free reflow oven s-1000
Lead free reflow oven s-1000Lead free reflow oven s-1000
Lead free reflow oven s-1000
 
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensor
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensorOrbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensor
Orbipac cpf81 cpf81 d_cpf82_cpf82d-endress+hauser datasheet-ph sensor
 
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CA
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CAAJA ATC-2200-UHV deposition system sputtering system system in San Jose CA
AJA ATC-2200-UHV deposition system sputtering system system in San Jose CA
 
pH electrodes-pH sensors CPS41
pH electrodes-pH sensors CPS41pH electrodes-pH sensors CPS41
pH electrodes-pH sensors CPS41
 
Lead free reflow oven s-8800
Lead free reflow oven s-8800 Lead free reflow oven s-8800
Lead free reflow oven s-8800
 
VORTEX ELECTROMAGNETIC FIELD SYSTEM
 VORTEX ELECTROMAGNETIC FIELD SYSTEM  VORTEX ELECTROMAGNETIC FIELD SYSTEM
VORTEX ELECTROMAGNETIC FIELD SYSTEM
 
KEMET Webinar -C44U_C44P-R Power Can Film Capacitors
KEMET Webinar -C44U_C44P-R Power Can Film CapacitorsKEMET Webinar -C44U_C44P-R Power Can Film Capacitors
KEMET Webinar -C44U_C44P-R Power Can Film Capacitors
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
Eta constant temperature and humidity test chamber
Eta constant temperature and humidity test chamberEta constant temperature and humidity test chamber
Eta constant temperature and humidity test chamber
 
Reflow Oven S-1000
Reflow Oven S-1000 Reflow Oven S-1000
Reflow Oven S-1000
 
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodes
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodesOrbisint cps11 cps11 d-endress+hauser datasheet-ph electrodes
Orbisint cps11 cps11 d-endress+hauser datasheet-ph electrodes
 
ATM Tube and Pipe Presentation AMM 2014 conference Applications
ATM Tube and Pipe Presentation AMM 2014 conference ApplicationsATM Tube and Pipe Presentation AMM 2014 conference Applications
ATM Tube and Pipe Presentation AMM 2014 conference Applications
 

More from Emily Tan

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 

More from Emily Tan (20)

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 

Recently uploaded

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 

Recently uploaded (20)

🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 

Used AG Associates Heatpulse 4108 Rapid Thermal Processor

  • 1. sales@semistarcorp.com www.semistarcorp.com sales@semistarcorp.com www.semistarcorp.com 1 1 Heatpulse 4108 Rapid Thermal Processor Model: Heatpulse 4108 Application: Rapid Thermal Process Maker: AG Associates Type: Automatic Single wafer Condition: Used. Refurbished Specifications: Meet AG Associates’ new Heatpulse 4108 specifications Wafer size: 3 to 8 inch capability. Customer will specify the wafer size Temperature: 400-1100 °C with ERP Pyrometer. Maximum 1250 °C(Not recommend) Gas lines: 2 gas lines with 2 MFC. N2,O2 or Ar. Price: Pls email us with your RFQ in detail. Appreciate your time. Price Term: Exworks. Buyer is responsible for shipping. FOB/CIP is optional at extra cost. Crating: Included Payment Term: 50% against PO, 50% before shipping. Other payment terms are optional depending on buyer’s credit. Installation & Training if necessary: Optional at extra cost. Lead time: 8 to 12 weeks depending on PO time and 1st payment. Valid Time: Subject to prior sale without notice. Warranty: 13 months Ex-works non-consumable parts warranty after shipping or 12 months after acceptance. The shortest one. Facility: Buyer’s responsibility. Sales tax, custom duty and tariff if applicable: Buyer’s responsibility.
  • 2. sales@semistarcorp.com www.semistarcorp.com sales@semistarcorp.com www.semistarcorp.com 2 2 Options: Buyer will make choice before the PO. 1) TC for 200-400 °C 2) Susceptor load/unload station 3) Pre-Aligner 4) New Al chamber 5) 3/4/5/6 inch susceptors 6) Chiller for ERP Pyrometer 7) TC wafer for ERP Pyrometer calibration 8) Omega Meter for ERP Pyrometer calibration 9) 3rd , 4th MFC 10) Spare Parts: Isolated Quartz Tube, Quartz Tray, Lamps, PCBs, Robot, Controller, O Rings, Fuses etc. Description and Configuration: The AG Associates Heatpulse4108 rapid thermal anneal system contains a subsystem for each of the following: • Electronics (including a dedicated microprocessor) • Mass-flow-controlled gas handling • Cooling • ULPA filtration • Mechanical assemblies Software programs, called recipes, specify the details for each process. The 4108 system includes a 3-1/2-inch floppy disk drive for process recipe storage. A three-axis industrial robot (Equipe ATM-105 with ESC-100 or equivalent) automates processing by transporting wafers into and out of the process chamber. It uses closed-loop feedback for precise motion control and accurate positioning. To provide cold-wall processing, water is circulated through the process-chamber walls. The quartz isolation tube is cooled with nitrogen or compressed air. Specifications: Wafer sizes: 3/4/5/6/8 inch capability(standard). Ramp-up rate: Programmable, 1 – 180°C per second.
  • 3. sales@semistarcorp.com www.semistarcorp.com sales@semistarcorp.com www.semistarcorp.com 3 3 Steady-state duration: 1 – 600 seconds per step. Ramp-down rate: Programmable, 1 – 180°C per second. Ramp-down rate is temperature and radiation dependent, maximum 150°C per second. Recommended steady-state temperature range: 400 – 1200°C. ERP temperature accuracy: +5°C to -9°C, when calibrated against an instrumented thermocouple wafer (ITC). Temperature repeatability: + 7°C or better at 1150°C wafer to wafer. (Repetition specifications are based on a 100-wafer set.) Temperature uniformity: + 10°C across an 6-inch wafer at 1150°C. (This is a 1-sigma deviation from 100-angstrom oxide uniformity.) For a titanium silicidation process, no more than 1.5 percent increase to uniformity during the first anneal at 650 – 700°C. Physical Dimensions: Width: 40 in. (102 cm) Depth 42 in. (107 cm) Height 82 in. (208 cm) Weight:: 1800 lbs (816 kg) Shipping weight:: 2000 lbs (907 kg) Utility Requirements Power / Water Type Refer to the Heatpulse® 4100 Facilities Manual. Domestic: 208 VAC, 60 Hz; 125 A maximum;3-phase plus ground and neutral European: 400 VAC, 50 Hz; 90 A maximum;3-phase plus ground and neutral Japanese: 200 VAC, 50/60 Hz, 125 A maximum; 3-phase plus ground The Heatpulse 4108 may be subject to the export control laws of the United States and other countries. Buyer will comply with the restrictions of the laws and might need to sign the “Customer Statement” before PO. The trademarks of the equipment and parts contained in this documents belonged to the Original Equipment Manufacturers.