SlideShare a Scribd company logo
1 of 19
PLL BASED FREQUENCY
SYNTHESIZER USING FPGA
BY
ARUN KUMAR M (21BEC1063)
SAIPRANAV K (21BEC1207)
V.KARTHIK RAM (21BEC1020)
SHREY UPADHYAY (21BEC1460)
ABSTRACT:
• This project presents the design and implementation of a Phase-
Locked Loop (PLL) based frequency synthesizer using Verilog.
• The Verilog hardware description language was used to model and
simulate the system, taking advantage of its ability to describe
complex digital designs in a concise and efficient manner.
• The successful simulation results validate the effectiveness of the
design approach and highlight the potential for further enhancements
and applications in the field of digital signal processing.
HOW IS THIS PROJECT RELATED TO DSP?
• A phase-locked loop (PLL)-based frequency synthesizer is commonly
used in digital signal processing (DSP) applications to generate stable
and accurate clock signals or frequency references
• PLLs are commonly used in Clock and Data Recovery circuits to extract
the clock signal from a noisy or distorted data stream.
• PLLs can be employed to reduce jitter by synchronizing and
smoothing the phase and frequency of a noisy or jittery signal.
WHAT IS A PLL?
• A phase-locked loop (PLL) is an electronic feedback system designed
to generate an output signal that is phase-locked to a reference
signal.
• The main purpose of a PLL is to align the phase and frequency of the
output signal with those of the reference signal.
• This synchronization ensures that the output signal maintains a
constant phase relationship with the reference signal over time.
KEY COMPONENTS OF PLL:
A PLL consists of three key components:
• Phase detector (also known as a phase comparator or mixer): It
compares the phases of two signals, and generates a voltage
according to the phase difference. It multiplies the reference input
and the voltage-controlled oscillator output.
• Voltage-controlled oscillator: Generates a sinusoidal signal, whose
frequency closely matches the center frequency provided by the low-
pass filter.
• Low-pass filter: A kind of loop filter that attenuates the high-
frequency alternating current (AC) component of the input signal to
smoothen and flatten the signal to make it more DC-like.
Block diagram
X
Y
COMBINATION OF
TWO NUMBERS
COMPLIMENT
ADDITION OF TWO
NUMBERS
SUBTRACTION OF TWO
NUMBERS
ENCODED OUTPUT
SUBTRACTION OF TWO
NUMBERS
ADDITION OF TWO
NUMBERS
SEPERATION OF TWO
NUMBERS
COMPLIMENT
A B
A
B
Verilog implementation OF PLL
1. Create a Verilog file with module name defined as PLL_Integer_N.
2. Define all input and output ports required.
3. Implement the Phase Frequency Detector part using always block and
assign the value of ‘pfd_out’ using xor operation between ‘clk_out’ and
‘clk_in’.
4. Implement the Charge Pump module using always block and assign the
value of ‘cp_out’ based on the value of ‘pfd_out’.
5. Implement the Loop filter module using always block and assign the
value of ‘lf_out’ based on the accumulation of ‘cp_out’ over time.
6. Implement the Voltage-Controlled Oscillator module using always block
and assign the value of ‘vco_out’ and ‘vco_divider’ based on the
accumulation of ‘lf_out’.
7. Implement the Output Clock Divider module using always block and
assign the value of ‘divider_count’ based on the increment of counter.
Verilog implementation OF PLL
8. Update the value of ‘clk_out_reg’ based on the most
significant bit of ‘divider_count’.
9. Use the assign statement to connect ‘clk_out’ to ‘clk_out_reg’.
10.End the module using end module.
11.Write a test bench for the above Verilog implementation to
check its working in ModelSim.
12.Further use other open source tools to generate a VLSI
Design
Verilog implementation OF PLL
module PLL_Integer_N (
input wire clk_in,
input wire rst,
input wire enable,
output wire clk_out
);
// Phase Frequency Detector (PFD)
reg pfd_out;
always @(posedge clk_in or posedge rst) begin
if (rst)
pfd_out <= 1'b0;
else
pfd_out <= clk_out ^ clk_in;
end
Verilog implementation OF PLL
// Charge Pump (CP)
reg [1:0] cp_out;
always @(posedge clk_in or posedge rst) begin
if (rst)
cp_out <= 2'b0;
else if (enable)
cp_out <= pfd_out ? 2'b01 : 2'b10;
end
// Loop Filter (LF)
reg [9:0] lf_out;
always @(posedge clk_in or posedge rst) begin
if (rst)
lf_out <= 10'b0;
else if (enable)
lf_out <= lf_out + cp_out;
end
Verilog implementation OF PLL
// Voltage-Controlled Oscillator (VCO)
reg [9:0] vco_divider;
reg vco_out;
always @(posedge clk_in or posedge rst) begin
if (rst)
vco_divider <= 10'b0;
else if (enable)
vco_divider <= vco_divider + lf_out;
vco_out <= vco_divider[9];
end
Verilog implementation OF PLL
// Output Clock Divider (Divider)
reg [15:0] divider_count;
reg [15:0] clk_out_reg;
always @(posedge clk_in or posedge rst) begin
if (rst)
divider_count <= 16'b0;
else if (enable)
divider_count <= divider_count + 1'b1;
clk_out_reg <= divider_count[15];
end
assign clk_out = clk_out_reg;
endmodule
TESTBENCH
module PLL_Integer_N_tb;
reg clk_in;
reg rst;
reg enable;
wire clk_out;
// Instantiate PLL module
PLL_Integer_N pll_inst (
.clk_in(clk_in),
.rst(rst),
.enable(enable),
.clk_out(clk_out)
);
// Clock generation
always begin
#5 clk_in = ~clk_in; // Toggle the input clock every 5 time units
end
TESTBENCH
// Testbench stimulus
initial begin
// Initialize inputs
clk_in = 0;
rst = 1;
enable = 0;
// Apply reset
#10;
rst = 0;
// Wait for a rising edge of clk_out
@(posedge clk_out);
// Disable reset and enable the PLL
#10;
rst = 1;
enable = 1;
// Wait for some time
#100;
TESTBENCH
// Disable the PLL
#50;
enable = 0;
// Re-enable the PLL
#200;
enable = 1;
// Wait for some time
#100;
// Apply reset again
rst = 0;
// Wait for a rising edge of clk_out
@(posedge clk_out);
// Disable reset and enable the PLL
#20;
rst = 1;
TESTBENCH
// Wait for some time
#150;
// Disable the PLL
#10;
enable = 0;
// Stop simulation
$finish;
end
endmodule
CADENCE SIMULATION:
VERILOG OUTPUT:
THANK YOU!!

More Related Content

Similar to PLL

Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015マルツエレック株式会社 marutsuelec
 
FPGA-based Digital Baseband Transmission System Performance Tester Research a...
FPGA-based Digital Baseband Transmission System Performance Tester Research a...FPGA-based Digital Baseband Transmission System Performance Tester Research a...
FPGA-based Digital Baseband Transmission System Performance Tester Research a...TELKOMNIKA JOURNAL
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsPremier Farnell
 
250 MHz Multiphase Delay Locked Loop for Low Power Applications
250 MHz Multiphase Delay Locked Loop for Low  Power Applications 250 MHz Multiphase Delay Locked Loop for Low  Power Applications
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
Dual Edge Triggered Phase Detector for DLL and PLL Applications
Dual Edge Triggered Phase Detector for DLL and PLL ApplicationsDual Edge Triggered Phase Detector for DLL and PLL Applications
Dual Edge Triggered Phase Detector for DLL and PLL ApplicationsIJERA Editor
 
PLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABPLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABkartik pal
 
Phase locked loop
Phase locked loop Phase locked loop
Phase locked loop imengineer
 
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCODESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCOVLSICS Design
 
Resume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalResume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalArizona State University
 
Resume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalResume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalArizona State University
 
Iaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd Iaetsd
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic designMahesh Dananjaya
 
Adc lab
Adc labAdc lab
Adc labxyxz
 
Implementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRImplementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRIJERA Editor
 

Similar to PLL (20)

1149.6extest
1149.6extest1149.6extest
1149.6extest
 
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
Spiceを活用した電源回路シミュレーションセミナーテキスト 18 feb2015
 
FPGA-based Digital Baseband Transmission System Performance Tester Research a...
FPGA-based Digital Baseband Transmission System Performance Tester Research a...FPGA-based Digital Baseband Transmission System Performance Tester Research a...
FPGA-based Digital Baseband Transmission System Performance Tester Research a...
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOs
 
250 MHz Multiphase Delay Locked Loop for Low Power Applications
250 MHz Multiphase Delay Locked Loop for Low  Power Applications 250 MHz Multiphase Delay Locked Loop for Low  Power Applications
250 MHz Multiphase Delay Locked Loop for Low Power Applications
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
An Effective Design and Verification Methodology for Digital PLL
An Effective Design and Verification Methodology for Digital PLLAn Effective Design and Verification Methodology for Digital PLL
An Effective Design and Verification Methodology for Digital PLL
 
Dual Edge Triggered Phase Detector for DLL and PLL Applications
Dual Edge Triggered Phase Detector for DLL and PLL ApplicationsDual Edge Triggered Phase Detector for DLL and PLL Applications
Dual Edge Triggered Phase Detector for DLL and PLL Applications
 
PLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLABPLL & DLL DESIGN IN SIMULINK MATLAB
PLL & DLL DESIGN IN SIMULINK MATLAB
 
Phase locked loop
Phase locked loop Phase locked loop
Phase locked loop
 
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCODESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
 
Charged pump plls
Charged pump pllsCharged pump plls
Charged pump plls
 
Resume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalResume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed Signal
 
Resume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed SignalResume_Karthik_Koneru_Analog_and_Mixed Signal
Resume_Karthik_Koneru_Analog_and_Mixed Signal
 
Iaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low power
 
DLD Chapter-5.pdf
DLD Chapter-5.pdfDLD Chapter-5.pdf
DLD Chapter-5.pdf
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Adc lab
Adc labAdc lab
Adc lab
 
Chapter 10- Synchronisation.ppt
Chapter 10- Synchronisation.pptChapter 10- Synchronisation.ppt
Chapter 10- Synchronisation.ppt
 
Implementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSRImplementation of UART with BIST Technique Using Low Power LFSR
Implementation of UART with BIST Technique Using Low Power LFSR
 

Recently uploaded

HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 

Recently uploaded (20)

HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 

PLL

  • 1. PLL BASED FREQUENCY SYNTHESIZER USING FPGA BY ARUN KUMAR M (21BEC1063) SAIPRANAV K (21BEC1207) V.KARTHIK RAM (21BEC1020) SHREY UPADHYAY (21BEC1460)
  • 2. ABSTRACT: • This project presents the design and implementation of a Phase- Locked Loop (PLL) based frequency synthesizer using Verilog. • The Verilog hardware description language was used to model and simulate the system, taking advantage of its ability to describe complex digital designs in a concise and efficient manner. • The successful simulation results validate the effectiveness of the design approach and highlight the potential for further enhancements and applications in the field of digital signal processing.
  • 3. HOW IS THIS PROJECT RELATED TO DSP? • A phase-locked loop (PLL)-based frequency synthesizer is commonly used in digital signal processing (DSP) applications to generate stable and accurate clock signals or frequency references • PLLs are commonly used in Clock and Data Recovery circuits to extract the clock signal from a noisy or distorted data stream. • PLLs can be employed to reduce jitter by synchronizing and smoothing the phase and frequency of a noisy or jittery signal.
  • 4. WHAT IS A PLL? • A phase-locked loop (PLL) is an electronic feedback system designed to generate an output signal that is phase-locked to a reference signal. • The main purpose of a PLL is to align the phase and frequency of the output signal with those of the reference signal. • This synchronization ensures that the output signal maintains a constant phase relationship with the reference signal over time.
  • 5. KEY COMPONENTS OF PLL: A PLL consists of three key components: • Phase detector (also known as a phase comparator or mixer): It compares the phases of two signals, and generates a voltage according to the phase difference. It multiplies the reference input and the voltage-controlled oscillator output. • Voltage-controlled oscillator: Generates a sinusoidal signal, whose frequency closely matches the center frequency provided by the low- pass filter. • Low-pass filter: A kind of loop filter that attenuates the high- frequency alternating current (AC) component of the input signal to smoothen and flatten the signal to make it more DC-like.
  • 6. Block diagram X Y COMBINATION OF TWO NUMBERS COMPLIMENT ADDITION OF TWO NUMBERS SUBTRACTION OF TWO NUMBERS ENCODED OUTPUT SUBTRACTION OF TWO NUMBERS ADDITION OF TWO NUMBERS SEPERATION OF TWO NUMBERS COMPLIMENT A B A B
  • 7. Verilog implementation OF PLL 1. Create a Verilog file with module name defined as PLL_Integer_N. 2. Define all input and output ports required. 3. Implement the Phase Frequency Detector part using always block and assign the value of ‘pfd_out’ using xor operation between ‘clk_out’ and ‘clk_in’. 4. Implement the Charge Pump module using always block and assign the value of ‘cp_out’ based on the value of ‘pfd_out’. 5. Implement the Loop filter module using always block and assign the value of ‘lf_out’ based on the accumulation of ‘cp_out’ over time. 6. Implement the Voltage-Controlled Oscillator module using always block and assign the value of ‘vco_out’ and ‘vco_divider’ based on the accumulation of ‘lf_out’. 7. Implement the Output Clock Divider module using always block and assign the value of ‘divider_count’ based on the increment of counter.
  • 8. Verilog implementation OF PLL 8. Update the value of ‘clk_out_reg’ based on the most significant bit of ‘divider_count’. 9. Use the assign statement to connect ‘clk_out’ to ‘clk_out_reg’. 10.End the module using end module. 11.Write a test bench for the above Verilog implementation to check its working in ModelSim. 12.Further use other open source tools to generate a VLSI Design
  • 9. Verilog implementation OF PLL module PLL_Integer_N ( input wire clk_in, input wire rst, input wire enable, output wire clk_out ); // Phase Frequency Detector (PFD) reg pfd_out; always @(posedge clk_in or posedge rst) begin if (rst) pfd_out <= 1'b0; else pfd_out <= clk_out ^ clk_in; end
  • 10. Verilog implementation OF PLL // Charge Pump (CP) reg [1:0] cp_out; always @(posedge clk_in or posedge rst) begin if (rst) cp_out <= 2'b0; else if (enable) cp_out <= pfd_out ? 2'b01 : 2'b10; end // Loop Filter (LF) reg [9:0] lf_out; always @(posedge clk_in or posedge rst) begin if (rst) lf_out <= 10'b0; else if (enable) lf_out <= lf_out + cp_out; end
  • 11. Verilog implementation OF PLL // Voltage-Controlled Oscillator (VCO) reg [9:0] vco_divider; reg vco_out; always @(posedge clk_in or posedge rst) begin if (rst) vco_divider <= 10'b0; else if (enable) vco_divider <= vco_divider + lf_out; vco_out <= vco_divider[9]; end
  • 12. Verilog implementation OF PLL // Output Clock Divider (Divider) reg [15:0] divider_count; reg [15:0] clk_out_reg; always @(posedge clk_in or posedge rst) begin if (rst) divider_count <= 16'b0; else if (enable) divider_count <= divider_count + 1'b1; clk_out_reg <= divider_count[15]; end assign clk_out = clk_out_reg; endmodule
  • 13. TESTBENCH module PLL_Integer_N_tb; reg clk_in; reg rst; reg enable; wire clk_out; // Instantiate PLL module PLL_Integer_N pll_inst ( .clk_in(clk_in), .rst(rst), .enable(enable), .clk_out(clk_out) ); // Clock generation always begin #5 clk_in = ~clk_in; // Toggle the input clock every 5 time units end
  • 14. TESTBENCH // Testbench stimulus initial begin // Initialize inputs clk_in = 0; rst = 1; enable = 0; // Apply reset #10; rst = 0; // Wait for a rising edge of clk_out @(posedge clk_out); // Disable reset and enable the PLL #10; rst = 1; enable = 1; // Wait for some time #100;
  • 15. TESTBENCH // Disable the PLL #50; enable = 0; // Re-enable the PLL #200; enable = 1; // Wait for some time #100; // Apply reset again rst = 0; // Wait for a rising edge of clk_out @(posedge clk_out); // Disable reset and enable the PLL #20; rst = 1;
  • 16. TESTBENCH // Wait for some time #150; // Disable the PLL #10; enable = 0; // Stop simulation $finish; end endmodule