SlideShare a Scribd company logo
1 of 8
Download to read offline
International Journal of Electrical and Computer Engineering (IJECE)
Vol. 7, No. 2, April 2017, pp. 942~949
ISSN: 2088-8708, DOI: 10.11591/ijece.v7i2.pp942-949  942
Journal homepage: http://iaesjournal.com/online/index.php/IJECE
Performance of Non-Uniform Duty-Cycled ContikiMAC in
Wireless Sensor Networks
Nur Rabiul Liyana Mohamed, Ansar Jamil, Lukman Hanif Audah, Jiwa Abdullah, Rozlan Alias
Departement of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia, Malaysia.
Article Info ABSTRACT
Article history:
Received Jan 12, 2017
Revised Mar 18, 2017
Accepted Mar 30, 2017
Wireless Sensor Network (WSN) is a promising technology in Internet of
Things (IoTs) because it can be implemented in many applications. However,
a main drawback of WSN is it has limited energy because each sensor node
is powered using batteries. Therefore, duty-cycle mechanisms are introduced
to reduce power consumption of the sensor nodes by ensuring the sensor
nodes in the sleep mode almost of the time in order to prolong the network
lifetime. One of the de-facto standard of duty-cycle mechanism in WSN is
ContikiMAC, which is the default duty-cycle mechanism in Contiki OS.
ContikiMAC ensures nodes can participate in network communication yet
keep it in sleep mode for roughly 99% of the time. However, it is found that
the ContikiMAC does not perform well in dynamic network conditions. In a
bursty network, ContikiMAC provides a poor performance in term of packet
delivery ratio, which is caused by congestion. One possible solution is
ContikiMAC should increase its duty-cycle rate in order to support the bursty
traffic. This solution creates a non-uniform duty-cycle rates among the sensor
nodes in the network. This work aims to investigate the effect of non-uniform
duty-cycle rates on the performance on ContikiMAC. Cooja simulator is
selected as the simulation tool. Three different simulation scenarios are
considered depending on the Clear Channel Assessment Rate (CCR)
configurations: a low uniform CCR value (Low-CCR), a high uniform CCR
value (High-CCR) and non-uniform CCR values (Non-uniform-CCR). The
simulation results show that the Low-CCR scenario provides the worst
performance of PDR. On the other hand, the High-CCR scenario provides the
best performance of PDR. The Non-uniform-CCR provides PDR in between
of Low-CCR and High-CCR.
Keyword:
ContikiMAC
Duty-cycle mechanisms
Wireless sensor network
(WSN)
Copyright © 2017 Institute of Advanced Engineering and Science.
All rights reserved.
Corresponding Author:
Ansar Jamil,
Departement of Electrical and Electronic Engineering,
Universiti Tun Hussein Onn Malaysia,
86400 Parit Raja, Batu Pahat, Johor., Malaysia.
Email: ansar@uthm.edu.my
1. INTRODUCTION
WSN has been widely explored and becoming one of the most important technology for IoTs. WSN
has a wide range of applications such as military applications, health care applications, environmental
monitoring, industrial process control, home intelligence, security and surveillance [1].
WSN consists of small devices called sensor nodes that can be deployed to collect information about
the environment conditions such as temperature, humidity, harmful chemical, light intensity and etc. These
sensor nodes are self-configured that cooperate among them to establish an ad-hoc network in order to
deliver the sensing data from each sensor node to the base station, which usually connected to the internet. A
main problem of WSN is limited energy, where the sensor nodes powered using batteries. Because of this,
IJECE ISSN: 2088-8708 
Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed)
943
duty-cycle mechanisms are introduced to reduce energy consumption of the sensor by making it to be almost
of the time in sleep mode but still can be participate in the communication.
Among well-known duty-cycle mechanisms are B-MAC [2], X-MAC [3], BoX-MAC [4],
WiseMAC [5] and Contiki-MAC [6]. In ContikiMAC, nodes sleep most of the time and periodically wake up
to check for any radio activity. If a packet transmission is detected, the receiver stays awake to receive the
next packet and sends a link-layer acknowledgement. To send a packet, the sender repeatedly sends the same
packet until a link-layer acknowledgement is received. Broadcast packets do not result in link-layer
acknowledgements. Instead, the sender repeatedly sends the packet during the full wake-up interval to ensure
that all neighbours have received it.
Based on the previous studies, it is found that ContikiMAC provides a poor performance in dynamic
conditions of WSN. When a low duty-cycle rate is used, high possibility of congestion might happen at the
sensor nodes due to the high incoming packets, which will reduce the performance of the WSN in term of
packet delivery ratio but the energy consumption will remain low. Otherwise, when a high duty-cycle rate is
used, the packet delivery ratio performance is improved but with an increase of the energy consumption.
Because of this, ContikiMAC should dynamically changes its duty-cycle depending on the networks
condition. Sensor nodes should used high duty-cycle when incoming packets detected to achieve better
packet delivery ratio. On the other hand, sensor nodes should use low duty-cycle when no packet detected to
reduce energy consumption. This create non-uniform duty cycle rates among the sensor nodes in the network.
Because of this, it is very important to study the effect of non-uniform duty-cycle rates on the performance of
WSN.
2. RELATED WORKS
ContikiMAC has been intensively evaluated and taken by many researchers in the WSN community
as the performance comparison of their proposed duty-cycle mechanisms. For example, V. Michopoulos et
al. [7] evaluated performance of ContikiMAC in congestion conditions. Based on this evaluation, they came
out with a new duty cycle-aware congestion control mechanism called DCC6 that achieves a higher goodput
and a lower packet loss than the previous works. Moreover, DCCC6 maintains low energy consumption,
average time delay and a high degree of fairness.
M. Michel et al. [8] performed a details analysis on the performance of ContikiMAC on the
efficiency of its transmission procedure that relies on sending full data frames. The study includes a
comparison between ContikiMAC, X-MAC and enhanced version of X-MAC. The study reveals that the
better efficiency of ContikiMAC can be attributed to two specific mechanisms: the fast sleep optimization
that shortens the wake-up period and more efficient transmission procedure. The combination of these
mechanisms helps ContikiMAC to achieve a better packet delivery ratio than X-MAC together with a
reduced latency and a drastically lower energy consumption.
JG Ko et al. [9] explored the interoperability performance of ContikiMAC and TinyOS LPL, which
are the default low-power MAC protocols in Contiki and TinyOS respectively. The study shows that various
parameters at the two low-power MAC protocol implementations can be configured so that they can
communicate well. On the other hand, the results show that when poorly configured, the interoperating
performance can severely suffer.
A King et al. [10] estimated the network lifetime of ContikiMAC in a very busy radio environment.
They found that the network lifetime of ContikiMAC can be up to 11 times shorter than in a quiet
environment. Radio interference triggers the receive mechanism causing an unnecessary wake-up which
leads to an increase in a node’s energy consumption.
MF Youssef et al. [11] provided an analysis on the impact of spatial CCR configuration on the
performance of ContikiMAC. Sensor nodes in the network are assigned with different CCR according to their
distance fro the sink. Sensor nodes located near to the sink is set with a higher CCR value. Otherwise, sensor
nodes located further away from the sink is set with lower CCR value, which reduce the nodes activity. Two
different patterns of CCR configuration are considered: diagonal and rectangular. In the diagonal
configuration, sensor nodes in the same diagonal location having the same CCR value. In the rectangular
setup, sensor nodes located to the furthest square sides against the sink are assigned the same CCR values.
For other sensor nodes, the CCR values are to be increased till reach the sink.
However, MF Youssef et al. focuses on the strategy of CCR assignment and only consider pre-
determined grid topology configuration in their works. In this paper, we evaluate the performance of
ContikiMAC using non-uniform CCR values with a random pattern of CCR configuration. The results could
helps other in designing a dynamic duty-cycle mechanism.
 ISSN: 2088-8708
IJECE Vol. 7, No. 2, April 2017 : 942 – 949
944
3. CONTIKIMAC PROTOCOL ARCHITECTURE
ContikiMAC [6] is a sender initiated and asynchronous duty-cycle mechanism. ContikiMAC
ensures that nodes sleep most of the time but can still participate in the network communication, which
reduce the energy consumption of the nodes significantly. In order to achieve it, ContikiMAC uses a power
efficient wake-up mechanism, which require precise timing through a set of timing constraints. Other than
that, ContikiMAC implements a fast sleep optimization that allow sensor nodes go to sleep quickly after
detected false-positive CCA wake-ups and a transmission phase-lock optimization to reduce the transmission
by learning the wake-up phase of the receiver.
Figure 1. Unicast transmission in ContikiMAC
ContikiMAC provides two types of transmission which are unicast and broadcast. In unicast
transmission, if a packet transmission is detected during a wake-up, the receiver remains active to be able to
receive the packet. After the whole packet has been received, the receiver sends a link layer
acknowledgement to the sender. Once the sender has received the link layer acknowledgment packet, the
sender sends the consecutive packets to the receiver within the full wake-up interval. The principle operation
of ContikiMAC in unicast transmission is shown in Figure 1 [6]. In a broadcast transmission, the sender
repeatedly sends the broadcast packet during the full interval of the wake-ups in order for all neighbours
receive the packet. There is no link-layer acknowledgement that is sent by the receiver after receiving the
packet. The priciple operation of ContikiMAC in broadcast transmission as shown in Figure 2 [6].
Figure 2. Broadcast transmission in ContikiMAC
ContikiMAC uses a very short interval of Clear Channel Assessment (CCA) mechanism to check
for any activity on the channel, which is indicated using Received Signal Strentgh Indicator (RSSI) of the
radio transceiver. If the RSSI is below a given threshold, indicating that the channel is clear and the CCA
returns positive. If the RSSI is above the threshold, indicating that the channel is in use and the CCA returns
IJECE ISSN: 2088-8708 
Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed)
945
negative. Figure 3 shows the ContikiMAC’s timing requirement [6]. The timing requirements as the
following:
ts: the interval between each packet transmission.
tr: the time required for a stable RSSI, needed for a stable CCA indication.
tc: the interval between each CCA.
ta: the time between receiving a packet and sending the acknowledgment packet.
td: the time required for successfully detecting an acknowledgment from the receiver.
Two rules must be satisfied for ContikiMAC to work properly. The first rule is the interval between
each packet transmission, ti must be smaller than tc to ensure either the first or the second CCA is able to see
the packet packet transmission. If tc would be smaller than ti, two CCAs would not be able to reliably detect a
transmission. The second rule is the requirement on the shortest packet size that ContikiMAC can support.
For two CCAs to be able to detect a packet, the packet transmission cannot be too short that it falls between
the CCAs. Specifically, ts, the transmission time of the shortest packet, must be larger than tr + tc + tr.
In the phase-lock optimisation, the sender learns a receiver wake-up phase to optimise its
transmissions. It is done by taking the time at which the sender receive the link-layer acknowledgement from
the receiver. The reception of the link-layer acknowledgement means the sender has successfully transmitted
a packet within the receiver’s wake-up window. This information is used by the sender to learn the receiver’s
wake-up phase. After the sender has learned the wake-up phase of the receiver, the sender can initiate the
future transmission to this receiver just before the receiver is expected to be awake, which will reduce
number of repeatative transmissions.
When there is no traffic, the duty-cycle ratio of ContikiMAC is mainly contributed by the CCA.
This mean, it is considered a waste of energy if a high CCR is used when compared to a low CCR. However,
when there is traffics, a high CCR is needed very to deliver packets efficiently to the base station. Using a
low CCR increase the possibility of congestion to happen that reduce the packet delivery ratio. Because of
this, it is very important for the CCR to be changed dynamically based on the condition of the network that
causes a non-uniform distribution of CCR values among sensor nodes in the network. This work will study
the effect of using non-uniform CCR values on the performance of ContikiMAC.
Figure 3. ContikiMAC timing requirement
4. SIMULATION CONFIGURATION
In this study, Cooja simulator is selected as the simulation tool. Cooja is a simulator for Contiki OS.
Three simulation scenarios are defined: a low uniform CCR (Low-CCR), a high uniform CCR (High-CCR)
and non-unifrom CCR (Non-uniform-CCR). In the Low-CCR scenario, sensor nodes are set to have a low
CCR value that is equal to 4 Hz. For High-CCR scenario, the sensor nodes are set to a high CCR value which
is 32 Hz. The Non-uniform-CCR scenario is set to have non-uniform values of CCR: 4 Hz, 8 Hz, 16 Hz and
32 Hz. The measured performance metrics are Packet Delivery Ratio (PDR), power consumption and
percentage of duty-cycle.
A random topology network is established in the simulation as shown in Figure 4. The network
consists of 25 sensor nodes distributed in about 1 km2
area. Node 1 is chosen as the sink node. Sky mote [12]
is selected as the sensor mote model. The transmission range is set to the maximum, which is 125 m as in the
datasheet. Unit Disc Graph Medium (UDGM) [13] is selected as the radio model. The network is simulated
in four different packet rates: 1/15, 1/10, 1/5, 1 and 5 packets/s. Summary of the simulation configurations
are shown in Table 1.
 ISSN: 2088-8708
IJECE Vol. 7, No. 2, April 2017 : 942 – 949
946
Figure 4. Random Network Topology
Table 1. Simulation Configurations
No. Parameters Settings
1 Radio duty cycle ContikiMAC
2 Clear Channel Assessment (CCA) rate Low-CCR scenario
All nodes are set to have CCR = 4 Hz
High-CCR scenario
All nodes are set to have CCR = 32 Hz
Non-uniform-CCR scenario
node 2-7 are set to have CCR = 4 Hz
node 8-13 are set to have CCR = 8 Hz
node 14-19 are set to have CCR = 16 Hz
node 20-25 are set to have CCR = 32 Hz
3 Packet rates 1/15, 1/10, 1/5, 1 and 5 packets/s
4 Sensor mote type Sky mote
5 Radio model Unit Disk Graph Medium (UDGM)
6 Transmission range 125m
7 Area 1km2
5. RESULTS AND ANALYSIS
Figure 5 shows the average PDR over different packet rates for all scenarios. The results shows that
PDR is decreased with the increase of packet rates for all the scenarios. The Low-CCR scenario gives the
lowest PDR performance among the three scenarios. This is because Low-CCR scenario uses the lowest
uniform CCR value, which is the largest interval between two CCA’s when compared with other scenarios.
The sender require a significant delay to successfully deliver a packet to the receiver that can lead to
congestion. On the other hand, the High-CCR scenario uses the highest uniform CCR value achieves the
highest PDR. The Non-uniform-CCR provides PDR in between of Low-CCR and High-CCR scenarios.
Figure 5. Average PDR over packet rates
IJECE ISSN: 2088-8708 
Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed)
947
Figure 6 shows the average power consumption over packet rates for all scenarios. Based on the
graph, the Low-CCR scenario provides the lowest power consumption when compared to other scenarios. By
having the lowest CCR value, the nodes assess the channel at the least frequent when compared to the other
scenarios. On the other hand, High-CCR scenario provides the highest power consumption because nodes are
most frequent assessing the channel. The Non-uniform-CCR scenario provides a performance in between
Low-CCR and High-CCR scenarios.
Figure 6. Average power consumption over packet rates
Figure 7 shows the average percentage of duty-cycle rate packet rates for all scenarios. The graph
shows that Low-CCR achieves the lowest percentage of duty-cycle. This is because the sensor nodes
assessing the channel at the least frequent that reduces the percentage of duty-cycle when compared to other
scenarios. Otherwise, the High-CCR provides the highest percentage of duty-cycle. The Non-uniform-CCR
provides average percentage of duty-cycle in between of Low-CCR and High-CCR scenarios.
Figure 7. Average percentage of duty cycle over packet rates
 ISSN: 2088-8708
IJECE Vol. 7, No. 2, April 2017 : 942 – 949
948
6. CONCLUSION
This paper provides a study on the effect of non-uniform duty-cycle to the performance of
ContikiMAC. The work are done using Cooja simulator. A random network topology consisting of 25 sensor
nodes is established in the simulation environment. The sensor nodes are set to have non-uniform CCR
values (Non-uniform-CCR). As the comparison, two uniform network scenarios are established: a low
uniform CCR value (Low-CCR) and a high uniform CCR value (High-CCR). Based on the simulation
results, the Non-uniform-CCR provides performances in between Low-CCR and High-CCR scenarios in
term of PDR, power consumption and percentage of duty-cycle. This findings will provide a useful
information in designing an enhanced ContikiMAC that dynamically changes its duty-cycle based on the
network conditions.
ACKNOWLEDGEMENTS
The authors would like to thank to University Tun Hussein Onn Malaysia for supporting this work
and the university staff members for the technical support.
REFERENCES
[1] J. Zheng and A. Jamalipour, “Wireless Sensor Networks: A Networking Perspective,” John Wiley & Sons, 2009.
[2] J. Polastre, et al., “Versatile Low Power Media Access for Wireless Sensor Networks,” in Proceedings of the 2nd
International Conference on Embedded Networked Sensor Systems. ACM, pp. 95–107, 2004.
[3] M. Buettner, et al., “X-MAC: A Short Preamble MAC protocol for duty-cycled wireless sensor networks,”
Proceedings of the 4th International Conference on Embedded Networked Sensor Systems, pp. 307–320, 2006.
[4] D. Moss and P. Levis, “BoX-MACs: Exploiting Physical and Link Layer Boundaries in Low-Power Networking,”
Computer Systems Laboratory Stanford University, pp. 116–119, 2008.
[5] A. E. Hoiydi, et al., “WiseMAC, An Ultra Low Power MAC Protocol for The WiseNET Wireless Sensor
Network,” Proceedings of International Conference on Embedded Networked Sensor Systems, pp. 302–303, 2003.
[6] A. Dunkels, “The Contikimac Radio Duty Cycling Protocol,” 2011.
[7] V. Michopoulos, et al., “DCCC6: Duty Cycle-Aware Congestion Control for 6LoWPAN Networks,” in IEEE
International Conference on Pervasive Computing and Communications Workshops, IEEE, pp. 278–283, 2012.
[8] F. Despaux, et al., “Towards Performance Analysis of Wireless Sensor Networks using Process Mining
Techniques,” in IEEE Symposium on Computers and Communication (ISCC). IEEE, pp. 1–7, 2014.
[9] J. Ko, et al., “Pragmatic low-power interoperability: ContikiMAC vs TinyOS LPL,” in 9th Annual IEEE
Communications Society Conference on Sensor, Mesh and Ad-hoc Communications and Networks (SECON). IEEE,
pp. 94–96, 2012.
[10] A. King, et al., “Estimating Node Lifetime in Interference Environments,” in IEEE 40th Local Computer Networks
Conference Workshops (LCN Workshops). IEEE, pp. 796–803, 2015.
[11] M. F. Youssef, et al., “Adaptive radio duty cycling in ContikiMAC: Proposal and Analysis,” in IEEE World Forum
on Internet of Things (WF-IoT). IEEE, pp. 491–495, 2014.
[12] Moteiv Corporation, “Tmote Sky”, 2016. http://www.eecs.harvard.edu/~konrad/projects/shimmer/references/tmote-
sky-datasheet.pdf.
[13] B. N. Clark, et al., “Unit disk graphs,” in Discrete Mathematics, vol/issue: 86(1-3), pp. 165-177, 1990.
BIOGRAPHIES OF AUTHORS
Nur Rabiul Liyana Binti Mohamed obtained her bachelor degree in Electronic Engineering
from University Tun Hussein Onn Malaysia, UTHM. She also a doploma holder in Electronic
Engineering from Sultan Haji Ahmad Shah Polytechnics in 2011. Now, she is expecting to join
the Graduate Employability Enhancement Programme for Smart Selangor (PROGRESS) Batch 2
on 19 February 2017 for seven months.
IJECE ISSN: 2088-8708 
Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed)
949
Currently, he is a lecturer in Department of Communication Engineering, UTHM. He also an
active researcher in Wireless and Radio Science Research Center (WARAS). He received his
B.Eng and Ms. Eng. In Electronics and Telecommunications from Universiti Teknologi
Malaysia (UTM) in 2005 and 2009 respectively. He gained his PhD in Electronic and Electric
systems from Loughborouh. He has two years experience as as electronic in RnD department of
Motorola, before joining UTHM as tutor for 8 years. His main areas of research interest in
wireless Sensor and IoT.
Dr. Lukman Hanif Bin Muhammad Audah is a lecturer in Communication Engineering
Department, Universiti Tun Hussein Onn Malaysia. He obtained his bachelor degree in Electrical
Engineering (Telecommunication) from Universiti Teknologi Malaysia in 2005. He persued his
MSc in Communication Networks and Software in University of Surrey, UK in 2007. He
continued his study in PhD in Electronic Engineering in the same university. He is a senior
researcher in the Wireless and Radio science Research Center (WARAS). His interested research
topics are IP Quality of Service (QoS), Internet traffic engineering, future Internet, network
management, data security & privacy, wireless & mobile communications and satellite
communications
Associate Prof. Dr. Jiwa Abdullah received his B.Eng degree in Electronic Engineering from
Liverpool University, United Kingdom in 1983. He obtained his Master of Science degree in
Digital Communication from Loughborough University in 1990. He continued his PhD study in
Electrical and Electronic Engineering in the same university. Currently, he is an associate
professor in University Tun Hussein Onn Malaysia, UTHM. He has published more than 60
publications in journals, conferences and book chapters. He is a member of IEEE and Board of
Engineering Malaysia. His interested research areas are wireless sensor networks, underwater
WSN, mobile adhoc network, wireless communication, networking and application of
computational intelligence, intergration of WSN and IoT and in the area of engineering
education.
Dr. Rozlan Alias is a lecturer in Department of Communication Engineering, Faculty of
Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia. Before joining
UTHM, he worked as a senior lecturer in UniKL, BMI for 16 years. He has an industrial
experience as supervisor in Fujitsu Malaysia. He obtained his BEng. In Electrical Engineering
from University of Malaya in 1991. He continued his study in MEng in communication and
networking engineering from is a PhD holder in Electronic Telecommunication Engineering frm
University of Loughborough in 1998. He obtained his PhD in electronic telecommunication
engineering from University of Bradford in 2006. He is also assosicate researcher in EMC
research center in UTHM.

More Related Content

What's hot

Optimized Cluster Establishment and Cluster-Head Selection Approach in WSN
Optimized Cluster Establishment and Cluster-Head Selection Approach in WSNOptimized Cluster Establishment and Cluster-Head Selection Approach in WSN
Optimized Cluster Establishment and Cluster-Head Selection Approach in WSNIJCNCJournal
 
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...ijcncs
 
Energy Minimization in Wireless Sensor Networks Using Multi Hop Transmission
Energy Minimization in Wireless Sensor Networks Using Multi  Hop TransmissionEnergy Minimization in Wireless Sensor Networks Using Multi  Hop Transmission
Energy Minimization in Wireless Sensor Networks Using Multi Hop TransmissionIOSR Journals
 
EFFECT OF DUTY CYCLE ON ENERGY CONSUMPTION IN WIRELESS SENSOR NETWORKS
EFFECT OF DUTY CYCLE ON ENERGY  CONSUMPTION IN WIRELESS SENSOR NETWORKSEFFECT OF DUTY CYCLE ON ENERGY  CONSUMPTION IN WIRELESS SENSOR NETWORKS
EFFECT OF DUTY CYCLE ON ENERGY CONSUMPTION IN WIRELESS SENSOR NETWORKSIJCNC
 
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...ijwmn
 
Energy efficient routing in wireless sensor network based on mobile sink guid...
Energy efficient routing in wireless sensor network based on mobile sink guid...Energy efficient routing in wireless sensor network based on mobile sink guid...
Energy efficient routing in wireless sensor network based on mobile sink guid...IJECEIAES
 
Energy efficient node deployment for target coverage in wireless sensor network
Energy efficient node deployment for target coverage in wireless sensor networkEnergy efficient node deployment for target coverage in wireless sensor network
Energy efficient node deployment for target coverage in wireless sensor networkGaurang Rathod
 
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor Networks
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor NetworksHierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor Networks
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor NetworksCSCJournals
 
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...IJCNCJournal
 
An energy saving algorithm to prolong
An energy saving algorithm to prolongAn energy saving algorithm to prolong
An energy saving algorithm to prolongijwmn
 
tankala srinivas, palasa
tankala srinivas, palasatankala srinivas, palasa
tankala srinivas, palasashiva782
 
Current issue- International Journal of Advanced Smart Sensor Network Systems...
Current issue- International Journal of Advanced Smart Sensor Network Systems...Current issue- International Journal of Advanced Smart Sensor Network Systems...
Current issue- International Journal of Advanced Smart Sensor Network Systems...ijassn
 
Review of Various Enhancements of Modified LEACH for Wireless Sensor Network
Review of Various Enhancements of Modified LEACH for Wireless Sensor NetworkReview of Various Enhancements of Modified LEACH for Wireless Sensor Network
Review of Various Enhancements of Modified LEACH for Wireless Sensor Networkijsrd.com
 
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...ijassn
 
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORK
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORKIMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORK
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORKIAEME Publication
 

What's hot (18)

Optimized Cluster Establishment and Cluster-Head Selection Approach in WSN
Optimized Cluster Establishment and Cluster-Head Selection Approach in WSNOptimized Cluster Establishment and Cluster-Head Selection Approach in WSN
Optimized Cluster Establishment and Cluster-Head Selection Approach in WSN
 
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
Design and Performance Analysis of Energy Aware Routing Protocol for Delay Se...
 
Energy Minimization in Wireless Sensor Networks Using Multi Hop Transmission
Energy Minimization in Wireless Sensor Networks Using Multi  Hop TransmissionEnergy Minimization in Wireless Sensor Networks Using Multi  Hop Transmission
Energy Minimization in Wireless Sensor Networks Using Multi Hop Transmission
 
SEP in WSN
SEP in WSNSEP in WSN
SEP in WSN
 
EFFECT OF DUTY CYCLE ON ENERGY CONSUMPTION IN WIRELESS SENSOR NETWORKS
EFFECT OF DUTY CYCLE ON ENERGY  CONSUMPTION IN WIRELESS SENSOR NETWORKSEFFECT OF DUTY CYCLE ON ENERGY  CONSUMPTION IN WIRELESS SENSOR NETWORKS
EFFECT OF DUTY CYCLE ON ENERGY CONSUMPTION IN WIRELESS SENSOR NETWORKS
 
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...
CUTTING DOWN ENERGY USAGE IN WIRELESS SENSOR NETWORKS USING DUTY CYCLE TECHNI...
 
Fo2510211029
Fo2510211029Fo2510211029
Fo2510211029
 
Energy efficient routing in wireless sensor network based on mobile sink guid...
Energy efficient routing in wireless sensor network based on mobile sink guid...Energy efficient routing in wireless sensor network based on mobile sink guid...
Energy efficient routing in wireless sensor network based on mobile sink guid...
 
Energy efficient node deployment for target coverage in wireless sensor network
Energy efficient node deployment for target coverage in wireless sensor networkEnergy efficient node deployment for target coverage in wireless sensor network
Energy efficient node deployment for target coverage in wireless sensor network
 
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor Networks
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor NetworksHierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor Networks
Hierarchical Coordination for Data Gathering (HCDG) in Wireless Sensor Networks
 
Bf33335340
Bf33335340Bf33335340
Bf33335340
 
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...
SECTOR TREE-BASED CLUSTERING FOR ENERGY EFFICIENT ROUTING PROTOCOL IN HETEROG...
 
An energy saving algorithm to prolong
An energy saving algorithm to prolongAn energy saving algorithm to prolong
An energy saving algorithm to prolong
 
tankala srinivas, palasa
tankala srinivas, palasatankala srinivas, palasa
tankala srinivas, palasa
 
Current issue- International Journal of Advanced Smart Sensor Network Systems...
Current issue- International Journal of Advanced Smart Sensor Network Systems...Current issue- International Journal of Advanced Smart Sensor Network Systems...
Current issue- International Journal of Advanced Smart Sensor Network Systems...
 
Review of Various Enhancements of Modified LEACH for Wireless Sensor Network
Review of Various Enhancements of Modified LEACH for Wireless Sensor NetworkReview of Various Enhancements of Modified LEACH for Wireless Sensor Network
Review of Various Enhancements of Modified LEACH for Wireless Sensor Network
 
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...
DEVELOPMENT OF SOM NEURAL NETWORK BASED ENERGY EFFICIENT CLUSTERING HIERARCHI...
 
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORK
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORKIMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORK
IMPROVEMENT OF LEACH AND ITS VARIANTS IN WIRELESS SENSOR NETWORK
 

Similar to Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless Sensor Networks

PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...
PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...
PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...IJCNCJournal
 
Modified LAEEBA Routing in WBAN
Modified LAEEBA Routing in WBANModified LAEEBA Routing in WBAN
Modified LAEEBA Routing in WBANijsrd.com
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSijwmn
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSijwmn
 
Energy efficient routing algorithm in wireless sensor networks
Energy efficient routing algorithm in wireless sensor networksEnergy efficient routing algorithm in wireless sensor networks
Energy efficient routing algorithm in wireless sensor networksAlexander Decker
 
Qos group based optimal retransmission medium access protocol for wireless se...
Qos group based optimal retransmission medium access protocol for wireless se...Qos group based optimal retransmission medium access protocol for wireless se...
Qos group based optimal retransmission medium access protocol for wireless se...IJCNCJournal
 
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)21 31 jan17 4nov16 13236 28448-2-ed(edit-new)
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)IAESIJEECS
 
Fuzzy based clustering and energy efficient
Fuzzy based clustering and energy efficientFuzzy based clustering and energy efficient
Fuzzy based clustering and energy efficientIJCNCJournal
 
Energy efficient mac protocols for wireless sensor network
Energy efficient mac protocols for wireless sensor networkEnergy efficient mac protocols for wireless sensor network
Energy efficient mac protocols for wireless sensor networkijcsa
 
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...IJCNCJournal
 
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...IJCNCJournal
 
O N THE E VALUATION OF G MSK S CHEME W ITH ECC T ECHNIQUES IN W IRELESS S...
O N THE E VALUATION OF G MSK  S CHEME  W ITH  ECC T ECHNIQUES IN W IRELESS  S...O N THE E VALUATION OF G MSK  S CHEME  W ITH  ECC T ECHNIQUES IN W IRELESS  S...
O N THE E VALUATION OF G MSK S CHEME W ITH ECC T ECHNIQUES IN W IRELESS S...ijwmn
 
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...TELKOMNIKA JOURNAL
 
A Survey- Energy Efficient Techniques in WBAN
A Survey- Energy Efficient Techniques in WBANA Survey- Energy Efficient Techniques in WBAN
A Survey- Energy Efficient Techniques in WBANIRJET Journal
 
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...IJCNCJournal
 

Similar to Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless Sensor Networks (20)

PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...
PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...
PERFORMANCE ANALYSIS OF CHANNEL ACCESS MODEL FOR MAC IN RANDOMLY DISTRIBUTED ...
 
Bf33335340
Bf33335340Bf33335340
Bf33335340
 
PROGRESS 1& 2.ppt
PROGRESS 1& 2.pptPROGRESS 1& 2.ppt
PROGRESS 1& 2.ppt
 
36 40
36 4036 40
36 40
 
mmm.ppt
mmm.pptmmm.ppt
mmm.ppt
 
Modified LAEEBA Routing in WBAN
Modified LAEEBA Routing in WBANModified LAEEBA Routing in WBAN
Modified LAEEBA Routing in WBAN
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
 
Energy efficient routing algorithm in wireless sensor networks
Energy efficient routing algorithm in wireless sensor networksEnergy efficient routing algorithm in wireless sensor networks
Energy efficient routing algorithm in wireless sensor networks
 
Qos group based optimal retransmission medium access protocol for wireless se...
Qos group based optimal retransmission medium access protocol for wireless se...Qos group based optimal retransmission medium access protocol for wireless se...
Qos group based optimal retransmission medium access protocol for wireless se...
 
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)21 31 jan17 4nov16 13236 28448-2-ed(edit-new)
21 31 jan17 4nov16 13236 28448-2-ed(edit-new)
 
Fuzzy based clustering and energy efficient
Fuzzy based clustering and energy efficientFuzzy based clustering and energy efficient
Fuzzy based clustering and energy efficient
 
International Journal of Engineering Inventions (IJEI)
International Journal of Engineering Inventions (IJEI)International Journal of Engineering Inventions (IJEI)
International Journal of Engineering Inventions (IJEI)
 
Energy efficient mac protocols for wireless sensor network
Energy efficient mac protocols for wireless sensor networkEnergy efficient mac protocols for wireless sensor network
Energy efficient mac protocols for wireless sensor network
 
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
 
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
Multi Objective Salp Swarm based Energy Efficient Routing Protocol for Hetero...
 
O N THE E VALUATION OF G MSK S CHEME W ITH ECC T ECHNIQUES IN W IRELESS S...
O N THE E VALUATION OF G MSK  S CHEME  W ITH  ECC T ECHNIQUES IN W IRELESS  S...O N THE E VALUATION OF G MSK  S CHEME  W ITH  ECC T ECHNIQUES IN W IRELESS  S...
O N THE E VALUATION OF G MSK S CHEME W ITH ECC T ECHNIQUES IN W IRELESS S...
 
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...
Energy Efficient Cluster Based Routing Protocol for Dynamic and Static Nodes ...
 
A Survey- Energy Efficient Techniques in WBAN
A Survey- Energy Efficient Techniques in WBANA Survey- Energy Efficient Techniques in WBAN
A Survey- Energy Efficient Techniques in WBAN
 
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...
QUAD TREE BASED STATIC MULTI HOP LEACH ENERGY EFFICIENT ROUTING PROTOCOL: A N...
 

More from IJECEIAES

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...IJECEIAES
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionIJECEIAES
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...IJECEIAES
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...IJECEIAES
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningIJECEIAES
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...IJECEIAES
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...IJECEIAES
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...IJECEIAES
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...IJECEIAES
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyIJECEIAES
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationIJECEIAES
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceIJECEIAES
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...IJECEIAES
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...IJECEIAES
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...IJECEIAES
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...IJECEIAES
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...IJECEIAES
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...IJECEIAES
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...IJECEIAES
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...IJECEIAES
 

More from IJECEIAES (20)

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regression
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learning
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancy
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimization
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performance
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
 

Recently uploaded

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...ranjana rawat
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 

Recently uploaded (20)

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 

Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless Sensor Networks

  • 1. International Journal of Electrical and Computer Engineering (IJECE) Vol. 7, No. 2, April 2017, pp. 942~949 ISSN: 2088-8708, DOI: 10.11591/ijece.v7i2.pp942-949  942 Journal homepage: http://iaesjournal.com/online/index.php/IJECE Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless Sensor Networks Nur Rabiul Liyana Mohamed, Ansar Jamil, Lukman Hanif Audah, Jiwa Abdullah, Rozlan Alias Departement of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia, Malaysia. Article Info ABSTRACT Article history: Received Jan 12, 2017 Revised Mar 18, 2017 Accepted Mar 30, 2017 Wireless Sensor Network (WSN) is a promising technology in Internet of Things (IoTs) because it can be implemented in many applications. However, a main drawback of WSN is it has limited energy because each sensor node is powered using batteries. Therefore, duty-cycle mechanisms are introduced to reduce power consumption of the sensor nodes by ensuring the sensor nodes in the sleep mode almost of the time in order to prolong the network lifetime. One of the de-facto standard of duty-cycle mechanism in WSN is ContikiMAC, which is the default duty-cycle mechanism in Contiki OS. ContikiMAC ensures nodes can participate in network communication yet keep it in sleep mode for roughly 99% of the time. However, it is found that the ContikiMAC does not perform well in dynamic network conditions. In a bursty network, ContikiMAC provides a poor performance in term of packet delivery ratio, which is caused by congestion. One possible solution is ContikiMAC should increase its duty-cycle rate in order to support the bursty traffic. This solution creates a non-uniform duty-cycle rates among the sensor nodes in the network. This work aims to investigate the effect of non-uniform duty-cycle rates on the performance on ContikiMAC. Cooja simulator is selected as the simulation tool. Three different simulation scenarios are considered depending on the Clear Channel Assessment Rate (CCR) configurations: a low uniform CCR value (Low-CCR), a high uniform CCR value (High-CCR) and non-uniform CCR values (Non-uniform-CCR). The simulation results show that the Low-CCR scenario provides the worst performance of PDR. On the other hand, the High-CCR scenario provides the best performance of PDR. The Non-uniform-CCR provides PDR in between of Low-CCR and High-CCR. Keyword: ContikiMAC Duty-cycle mechanisms Wireless sensor network (WSN) Copyright © 2017 Institute of Advanced Engineering and Science. All rights reserved. Corresponding Author: Ansar Jamil, Departement of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia, 86400 Parit Raja, Batu Pahat, Johor., Malaysia. Email: ansar@uthm.edu.my 1. INTRODUCTION WSN has been widely explored and becoming one of the most important technology for IoTs. WSN has a wide range of applications such as military applications, health care applications, environmental monitoring, industrial process control, home intelligence, security and surveillance [1]. WSN consists of small devices called sensor nodes that can be deployed to collect information about the environment conditions such as temperature, humidity, harmful chemical, light intensity and etc. These sensor nodes are self-configured that cooperate among them to establish an ad-hoc network in order to deliver the sensing data from each sensor node to the base station, which usually connected to the internet. A main problem of WSN is limited energy, where the sensor nodes powered using batteries. Because of this,
  • 2. IJECE ISSN: 2088-8708  Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed) 943 duty-cycle mechanisms are introduced to reduce energy consumption of the sensor by making it to be almost of the time in sleep mode but still can be participate in the communication. Among well-known duty-cycle mechanisms are B-MAC [2], X-MAC [3], BoX-MAC [4], WiseMAC [5] and Contiki-MAC [6]. In ContikiMAC, nodes sleep most of the time and periodically wake up to check for any radio activity. If a packet transmission is detected, the receiver stays awake to receive the next packet and sends a link-layer acknowledgement. To send a packet, the sender repeatedly sends the same packet until a link-layer acknowledgement is received. Broadcast packets do not result in link-layer acknowledgements. Instead, the sender repeatedly sends the packet during the full wake-up interval to ensure that all neighbours have received it. Based on the previous studies, it is found that ContikiMAC provides a poor performance in dynamic conditions of WSN. When a low duty-cycle rate is used, high possibility of congestion might happen at the sensor nodes due to the high incoming packets, which will reduce the performance of the WSN in term of packet delivery ratio but the energy consumption will remain low. Otherwise, when a high duty-cycle rate is used, the packet delivery ratio performance is improved but with an increase of the energy consumption. Because of this, ContikiMAC should dynamically changes its duty-cycle depending on the networks condition. Sensor nodes should used high duty-cycle when incoming packets detected to achieve better packet delivery ratio. On the other hand, sensor nodes should use low duty-cycle when no packet detected to reduce energy consumption. This create non-uniform duty cycle rates among the sensor nodes in the network. Because of this, it is very important to study the effect of non-uniform duty-cycle rates on the performance of WSN. 2. RELATED WORKS ContikiMAC has been intensively evaluated and taken by many researchers in the WSN community as the performance comparison of their proposed duty-cycle mechanisms. For example, V. Michopoulos et al. [7] evaluated performance of ContikiMAC in congestion conditions. Based on this evaluation, they came out with a new duty cycle-aware congestion control mechanism called DCC6 that achieves a higher goodput and a lower packet loss than the previous works. Moreover, DCCC6 maintains low energy consumption, average time delay and a high degree of fairness. M. Michel et al. [8] performed a details analysis on the performance of ContikiMAC on the efficiency of its transmission procedure that relies on sending full data frames. The study includes a comparison between ContikiMAC, X-MAC and enhanced version of X-MAC. The study reveals that the better efficiency of ContikiMAC can be attributed to two specific mechanisms: the fast sleep optimization that shortens the wake-up period and more efficient transmission procedure. The combination of these mechanisms helps ContikiMAC to achieve a better packet delivery ratio than X-MAC together with a reduced latency and a drastically lower energy consumption. JG Ko et al. [9] explored the interoperability performance of ContikiMAC and TinyOS LPL, which are the default low-power MAC protocols in Contiki and TinyOS respectively. The study shows that various parameters at the two low-power MAC protocol implementations can be configured so that they can communicate well. On the other hand, the results show that when poorly configured, the interoperating performance can severely suffer. A King et al. [10] estimated the network lifetime of ContikiMAC in a very busy radio environment. They found that the network lifetime of ContikiMAC can be up to 11 times shorter than in a quiet environment. Radio interference triggers the receive mechanism causing an unnecessary wake-up which leads to an increase in a node’s energy consumption. MF Youssef et al. [11] provided an analysis on the impact of spatial CCR configuration on the performance of ContikiMAC. Sensor nodes in the network are assigned with different CCR according to their distance fro the sink. Sensor nodes located near to the sink is set with a higher CCR value. Otherwise, sensor nodes located further away from the sink is set with lower CCR value, which reduce the nodes activity. Two different patterns of CCR configuration are considered: diagonal and rectangular. In the diagonal configuration, sensor nodes in the same diagonal location having the same CCR value. In the rectangular setup, sensor nodes located to the furthest square sides against the sink are assigned the same CCR values. For other sensor nodes, the CCR values are to be increased till reach the sink. However, MF Youssef et al. focuses on the strategy of CCR assignment and only consider pre- determined grid topology configuration in their works. In this paper, we evaluate the performance of ContikiMAC using non-uniform CCR values with a random pattern of CCR configuration. The results could helps other in designing a dynamic duty-cycle mechanism.
  • 3.  ISSN: 2088-8708 IJECE Vol. 7, No. 2, April 2017 : 942 – 949 944 3. CONTIKIMAC PROTOCOL ARCHITECTURE ContikiMAC [6] is a sender initiated and asynchronous duty-cycle mechanism. ContikiMAC ensures that nodes sleep most of the time but can still participate in the network communication, which reduce the energy consumption of the nodes significantly. In order to achieve it, ContikiMAC uses a power efficient wake-up mechanism, which require precise timing through a set of timing constraints. Other than that, ContikiMAC implements a fast sleep optimization that allow sensor nodes go to sleep quickly after detected false-positive CCA wake-ups and a transmission phase-lock optimization to reduce the transmission by learning the wake-up phase of the receiver. Figure 1. Unicast transmission in ContikiMAC ContikiMAC provides two types of transmission which are unicast and broadcast. In unicast transmission, if a packet transmission is detected during a wake-up, the receiver remains active to be able to receive the packet. After the whole packet has been received, the receiver sends a link layer acknowledgement to the sender. Once the sender has received the link layer acknowledgment packet, the sender sends the consecutive packets to the receiver within the full wake-up interval. The principle operation of ContikiMAC in unicast transmission is shown in Figure 1 [6]. In a broadcast transmission, the sender repeatedly sends the broadcast packet during the full interval of the wake-ups in order for all neighbours receive the packet. There is no link-layer acknowledgement that is sent by the receiver after receiving the packet. The priciple operation of ContikiMAC in broadcast transmission as shown in Figure 2 [6]. Figure 2. Broadcast transmission in ContikiMAC ContikiMAC uses a very short interval of Clear Channel Assessment (CCA) mechanism to check for any activity on the channel, which is indicated using Received Signal Strentgh Indicator (RSSI) of the radio transceiver. If the RSSI is below a given threshold, indicating that the channel is clear and the CCA returns positive. If the RSSI is above the threshold, indicating that the channel is in use and the CCA returns
  • 4. IJECE ISSN: 2088-8708  Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed) 945 negative. Figure 3 shows the ContikiMAC’s timing requirement [6]. The timing requirements as the following: ts: the interval between each packet transmission. tr: the time required for a stable RSSI, needed for a stable CCA indication. tc: the interval between each CCA. ta: the time between receiving a packet and sending the acknowledgment packet. td: the time required for successfully detecting an acknowledgment from the receiver. Two rules must be satisfied for ContikiMAC to work properly. The first rule is the interval between each packet transmission, ti must be smaller than tc to ensure either the first or the second CCA is able to see the packet packet transmission. If tc would be smaller than ti, two CCAs would not be able to reliably detect a transmission. The second rule is the requirement on the shortest packet size that ContikiMAC can support. For two CCAs to be able to detect a packet, the packet transmission cannot be too short that it falls between the CCAs. Specifically, ts, the transmission time of the shortest packet, must be larger than tr + tc + tr. In the phase-lock optimisation, the sender learns a receiver wake-up phase to optimise its transmissions. It is done by taking the time at which the sender receive the link-layer acknowledgement from the receiver. The reception of the link-layer acknowledgement means the sender has successfully transmitted a packet within the receiver’s wake-up window. This information is used by the sender to learn the receiver’s wake-up phase. After the sender has learned the wake-up phase of the receiver, the sender can initiate the future transmission to this receiver just before the receiver is expected to be awake, which will reduce number of repeatative transmissions. When there is no traffic, the duty-cycle ratio of ContikiMAC is mainly contributed by the CCA. This mean, it is considered a waste of energy if a high CCR is used when compared to a low CCR. However, when there is traffics, a high CCR is needed very to deliver packets efficiently to the base station. Using a low CCR increase the possibility of congestion to happen that reduce the packet delivery ratio. Because of this, it is very important for the CCR to be changed dynamically based on the condition of the network that causes a non-uniform distribution of CCR values among sensor nodes in the network. This work will study the effect of using non-uniform CCR values on the performance of ContikiMAC. Figure 3. ContikiMAC timing requirement 4. SIMULATION CONFIGURATION In this study, Cooja simulator is selected as the simulation tool. Cooja is a simulator for Contiki OS. Three simulation scenarios are defined: a low uniform CCR (Low-CCR), a high uniform CCR (High-CCR) and non-unifrom CCR (Non-uniform-CCR). In the Low-CCR scenario, sensor nodes are set to have a low CCR value that is equal to 4 Hz. For High-CCR scenario, the sensor nodes are set to a high CCR value which is 32 Hz. The Non-uniform-CCR scenario is set to have non-uniform values of CCR: 4 Hz, 8 Hz, 16 Hz and 32 Hz. The measured performance metrics are Packet Delivery Ratio (PDR), power consumption and percentage of duty-cycle. A random topology network is established in the simulation as shown in Figure 4. The network consists of 25 sensor nodes distributed in about 1 km2 area. Node 1 is chosen as the sink node. Sky mote [12] is selected as the sensor mote model. The transmission range is set to the maximum, which is 125 m as in the datasheet. Unit Disc Graph Medium (UDGM) [13] is selected as the radio model. The network is simulated in four different packet rates: 1/15, 1/10, 1/5, 1 and 5 packets/s. Summary of the simulation configurations are shown in Table 1.
  • 5.  ISSN: 2088-8708 IJECE Vol. 7, No. 2, April 2017 : 942 – 949 946 Figure 4. Random Network Topology Table 1. Simulation Configurations No. Parameters Settings 1 Radio duty cycle ContikiMAC 2 Clear Channel Assessment (CCA) rate Low-CCR scenario All nodes are set to have CCR = 4 Hz High-CCR scenario All nodes are set to have CCR = 32 Hz Non-uniform-CCR scenario node 2-7 are set to have CCR = 4 Hz node 8-13 are set to have CCR = 8 Hz node 14-19 are set to have CCR = 16 Hz node 20-25 are set to have CCR = 32 Hz 3 Packet rates 1/15, 1/10, 1/5, 1 and 5 packets/s 4 Sensor mote type Sky mote 5 Radio model Unit Disk Graph Medium (UDGM) 6 Transmission range 125m 7 Area 1km2 5. RESULTS AND ANALYSIS Figure 5 shows the average PDR over different packet rates for all scenarios. The results shows that PDR is decreased with the increase of packet rates for all the scenarios. The Low-CCR scenario gives the lowest PDR performance among the three scenarios. This is because Low-CCR scenario uses the lowest uniform CCR value, which is the largest interval between two CCA’s when compared with other scenarios. The sender require a significant delay to successfully deliver a packet to the receiver that can lead to congestion. On the other hand, the High-CCR scenario uses the highest uniform CCR value achieves the highest PDR. The Non-uniform-CCR provides PDR in between of Low-CCR and High-CCR scenarios. Figure 5. Average PDR over packet rates
  • 6. IJECE ISSN: 2088-8708  Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed) 947 Figure 6 shows the average power consumption over packet rates for all scenarios. Based on the graph, the Low-CCR scenario provides the lowest power consumption when compared to other scenarios. By having the lowest CCR value, the nodes assess the channel at the least frequent when compared to the other scenarios. On the other hand, High-CCR scenario provides the highest power consumption because nodes are most frequent assessing the channel. The Non-uniform-CCR scenario provides a performance in between Low-CCR and High-CCR scenarios. Figure 6. Average power consumption over packet rates Figure 7 shows the average percentage of duty-cycle rate packet rates for all scenarios. The graph shows that Low-CCR achieves the lowest percentage of duty-cycle. This is because the sensor nodes assessing the channel at the least frequent that reduces the percentage of duty-cycle when compared to other scenarios. Otherwise, the High-CCR provides the highest percentage of duty-cycle. The Non-uniform-CCR provides average percentage of duty-cycle in between of Low-CCR and High-CCR scenarios. Figure 7. Average percentage of duty cycle over packet rates
  • 7.  ISSN: 2088-8708 IJECE Vol. 7, No. 2, April 2017 : 942 – 949 948 6. CONCLUSION This paper provides a study on the effect of non-uniform duty-cycle to the performance of ContikiMAC. The work are done using Cooja simulator. A random network topology consisting of 25 sensor nodes is established in the simulation environment. The sensor nodes are set to have non-uniform CCR values (Non-uniform-CCR). As the comparison, two uniform network scenarios are established: a low uniform CCR value (Low-CCR) and a high uniform CCR value (High-CCR). Based on the simulation results, the Non-uniform-CCR provides performances in between Low-CCR and High-CCR scenarios in term of PDR, power consumption and percentage of duty-cycle. This findings will provide a useful information in designing an enhanced ContikiMAC that dynamically changes its duty-cycle based on the network conditions. ACKNOWLEDGEMENTS The authors would like to thank to University Tun Hussein Onn Malaysia for supporting this work and the university staff members for the technical support. REFERENCES [1] J. Zheng and A. Jamalipour, “Wireless Sensor Networks: A Networking Perspective,” John Wiley & Sons, 2009. [2] J. Polastre, et al., “Versatile Low Power Media Access for Wireless Sensor Networks,” in Proceedings of the 2nd International Conference on Embedded Networked Sensor Systems. ACM, pp. 95–107, 2004. [3] M. Buettner, et al., “X-MAC: A Short Preamble MAC protocol for duty-cycled wireless sensor networks,” Proceedings of the 4th International Conference on Embedded Networked Sensor Systems, pp. 307–320, 2006. [4] D. Moss and P. Levis, “BoX-MACs: Exploiting Physical and Link Layer Boundaries in Low-Power Networking,” Computer Systems Laboratory Stanford University, pp. 116–119, 2008. [5] A. E. Hoiydi, et al., “WiseMAC, An Ultra Low Power MAC Protocol for The WiseNET Wireless Sensor Network,” Proceedings of International Conference on Embedded Networked Sensor Systems, pp. 302–303, 2003. [6] A. Dunkels, “The Contikimac Radio Duty Cycling Protocol,” 2011. [7] V. Michopoulos, et al., “DCCC6: Duty Cycle-Aware Congestion Control for 6LoWPAN Networks,” in IEEE International Conference on Pervasive Computing and Communications Workshops, IEEE, pp. 278–283, 2012. [8] F. Despaux, et al., “Towards Performance Analysis of Wireless Sensor Networks using Process Mining Techniques,” in IEEE Symposium on Computers and Communication (ISCC). IEEE, pp. 1–7, 2014. [9] J. Ko, et al., “Pragmatic low-power interoperability: ContikiMAC vs TinyOS LPL,” in 9th Annual IEEE Communications Society Conference on Sensor, Mesh and Ad-hoc Communications and Networks (SECON). IEEE, pp. 94–96, 2012. [10] A. King, et al., “Estimating Node Lifetime in Interference Environments,” in IEEE 40th Local Computer Networks Conference Workshops (LCN Workshops). IEEE, pp. 796–803, 2015. [11] M. F. Youssef, et al., “Adaptive radio duty cycling in ContikiMAC: Proposal and Analysis,” in IEEE World Forum on Internet of Things (WF-IoT). IEEE, pp. 491–495, 2014. [12] Moteiv Corporation, “Tmote Sky”, 2016. http://www.eecs.harvard.edu/~konrad/projects/shimmer/references/tmote- sky-datasheet.pdf. [13] B. N. Clark, et al., “Unit disk graphs,” in Discrete Mathematics, vol/issue: 86(1-3), pp. 165-177, 1990. BIOGRAPHIES OF AUTHORS Nur Rabiul Liyana Binti Mohamed obtained her bachelor degree in Electronic Engineering from University Tun Hussein Onn Malaysia, UTHM. She also a doploma holder in Electronic Engineering from Sultan Haji Ahmad Shah Polytechnics in 2011. Now, she is expecting to join the Graduate Employability Enhancement Programme for Smart Selangor (PROGRESS) Batch 2 on 19 February 2017 for seven months.
  • 8. IJECE ISSN: 2088-8708  Performance of Non-Uniform Duty-Cycled ContikiMAC in Wireless …. (Nur Rabiul Liyana Mohamed) 949 Currently, he is a lecturer in Department of Communication Engineering, UTHM. He also an active researcher in Wireless and Radio Science Research Center (WARAS). He received his B.Eng and Ms. Eng. In Electronics and Telecommunications from Universiti Teknologi Malaysia (UTM) in 2005 and 2009 respectively. He gained his PhD in Electronic and Electric systems from Loughborouh. He has two years experience as as electronic in RnD department of Motorola, before joining UTHM as tutor for 8 years. His main areas of research interest in wireless Sensor and IoT. Dr. Lukman Hanif Bin Muhammad Audah is a lecturer in Communication Engineering Department, Universiti Tun Hussein Onn Malaysia. He obtained his bachelor degree in Electrical Engineering (Telecommunication) from Universiti Teknologi Malaysia in 2005. He persued his MSc in Communication Networks and Software in University of Surrey, UK in 2007. He continued his study in PhD in Electronic Engineering in the same university. He is a senior researcher in the Wireless and Radio science Research Center (WARAS). His interested research topics are IP Quality of Service (QoS), Internet traffic engineering, future Internet, network management, data security & privacy, wireless & mobile communications and satellite communications Associate Prof. Dr. Jiwa Abdullah received his B.Eng degree in Electronic Engineering from Liverpool University, United Kingdom in 1983. He obtained his Master of Science degree in Digital Communication from Loughborough University in 1990. He continued his PhD study in Electrical and Electronic Engineering in the same university. Currently, he is an associate professor in University Tun Hussein Onn Malaysia, UTHM. He has published more than 60 publications in journals, conferences and book chapters. He is a member of IEEE and Board of Engineering Malaysia. His interested research areas are wireless sensor networks, underwater WSN, mobile adhoc network, wireless communication, networking and application of computational intelligence, intergration of WSN and IoT and in the area of engineering education. Dr. Rozlan Alias is a lecturer in Department of Communication Engineering, Faculty of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia. Before joining UTHM, he worked as a senior lecturer in UniKL, BMI for 16 years. He has an industrial experience as supervisor in Fujitsu Malaysia. He obtained his BEng. In Electrical Engineering from University of Malaya in 1991. He continued his study in MEng in communication and networking engineering from is a PhD holder in Electronic Telecommunication Engineering frm University of Loughborough in 1998. He obtained his PhD in electronic telecommunication engineering from University of Bradford in 2006. He is also assosicate researcher in EMC research center in UTHM.