SlideShare a Scribd company logo
1 of 38
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019
On the Verification of Configurable NoCs
in Simulation and Hardware Emulation: A
UVM-based Tool
Presented by: Sameh Mahmoud El-Ashry
Master of Science in Computer and Systems Engineering, Ain Shams University, Cairo, Egypt
Supervised By
Prof. Dr. Mohamed Watheq Ali Kamel El-Kharashi
Dr. Ahmed Mohammed Mohammed Hamada Shalaby
Dr. Mohamed AbdelSalam Ahmed Hassan
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Outlines
◎ Research Goals and Tool Design Flow.
◎ Introduction.
○ Why NoC?
○ Motivation
○ Why UVM for NoC Error-Injection?
◎ Case Studies.
○ A Base Router
○ Configurable Router: Daniel Router
◎ Proposed UVM Architectures to Support Simulation and Hardware Emulation.
◎ Proposed UVM Error Injection Methodologies.
◎ Simulation Results and NoC Performance Metrics.
◎ Proposed Functional Coverage Technique.
◎ Conclusion & Future Work.
◎ Publications.
2
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Research Goals
❑ This research aims is to propose configurable verification tool-based user
scripts based UVM methodology, which are implemented by the author.
❑ Extracting the verification requirements and proposing a novel UVM
verification architecture.
❑ By proposing UVM architecture to verify the 2D/3D NOCs with different
network topologies, routing algorithms and flow control methodologies.
❑ The architecture supports simulation and hardware emulation platforms.
❑ Simulate/Emulate the configurable verification tool with a Network on Chip
Designs.
3
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Tool Design Flow
4
NoC Generation Simulation or Hardware
Emulation
Error-Injection Optional Phase Performance Evaluation
NoC Verification Environment
Generation
Simulation or Hardware
Emulation
Error-Injection Optional Phase Automated Checking End-to-
End
NoC
library
Perl
Scripts
R1
NI
R2
NI
R3
NI
R4
NI
R5
NI
R6
NI
R7
NI
R8
NI
R9
NI
Interface
Configurable UVM
Environment
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Why NOC? Network on Chip (NoC) Vs Bus
NoC has become the trend for Multicore systems’ interconnections
BUS
IP
1
IP
2
IP
3
IP
4
IP
5
IP
6
IP
7
IP
8
IP
9
IP
10
IP
11
IP
12
IP
13
IP
14
IP
15
IP
16
IP
9
IP
10
R1
IP1
NI
R2
IP2
NI
R3
IP3
NI
R4
IP4
NI
R5
IP5
NI
R6
IP6
NI
R7
IP7
NI
R8
IP8
NI
R9
IP9
NI
R10
IP10
NI
R11
IP11
NI
R12
IP12
NI
R13
IP13
NI
R14
IP14
NI
R15
IP15
NI
R16
IP16
NI
5
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Noc-based Communications
◎NoC is a feasible and promising alternative solution to the problems
adopted by bus-based systems.
◎It’s the most viable solution to cope with scalability of many-core systems as
it meets performance, power and reliability requirements.
◎Controlling several NoC parameters such as Topology, Routing Algorithm
and Flow Control greatly affect NoC performance.
◎Topology is chosen to match the optimal architecture.
◎Routing Algorithm achieves load balancing and low latency.
◎Flow Control determines how resources are shared among packets and how
fairness is accomplished.
6
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Motiviation
Digital Systems
performance depends on
interconnections
NoC to improve
performance
Seeking reliability
and scalability of
NoC
Importance of Testbench
Verification architecture
UVM
UVM features for
NOC reusability
7
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Why UVM (Universal Verification Methodology) for NoC Error-Injection?
We want to check
that NoC systems
meet design
specifications.
We build verification
environments to validate the
reliability of NoC different
architectures.
We inject errors to
verify that the system
either handles them or
ignores them.
We cannot use traditional
testbenches because NoCs
are complex and scalable
systems.
We use UVM as it
contains generic
components that
can be reused.
8
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Role of the UVM Environment
◎The proposed UVM environments to mimic processor elements
connected to NoC routers at some ports.
9
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Dependences between faults, errors, and failures
10
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Thesis Contributions
◎Designing generic, scalable, and reusable UVM architecture for NoCs using
simulation and hardware emulation environments.
◎Applying different error-injection approaches for NoCs through the proposed
generic UVM environments.
◎Mapping of NoC parameters to UVM layers to achieve the re-usability while
changing router type.
◎Evaluating the base router and Daniel router as different case studies using the
generic UVM architecture.
◎Applying functional coverage techniques to catch the source of injected errors.
11
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Comparison between the proposed verification framework and
open source tools
12
Connect MAIA ATLAS Proposed
verification
framework
Tool type RTL
generator
RTL
generator
RTL
generator
Verification
environment
generator
Testbench
framework
Ad-hoc
Verilog
Ad-hoc
Verilog
Ad-hoc
SystemC
UVM
based
Error
injection
mechanism
Not
Supported
Not
Supported
Not
Supported
Supported
Simulation
platform
Supported Supported Supported Supported
Emulation
platform
Not
Supported
Not
Supported
Not
Supported
Supported
Verification
environment
Topology
dependent
Topology
dependent
Topology
dependent
Topology
independent
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019
of 38
Case Studies
A Base Router A Configurable
Router: Daniel Router
Input port
East
West
North
South
Local
Output port
East
West
North
South
Local
ReqCntReqUpstr
GntUpstr
FullUpstr
PacketIn
ReqDnstr
GntDnstr
FullDnstr
PacketOut
GntCnt
Packet
13
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019
of 38
The routers parameters and configurations
14
Parameters Configurations
Topology Mesh, torus and butterfly.
Flow control Store and Forward.
VCs Variable number of VCs per port.
Buffers size Variable number for total input buffer size per port in flits.
Buffer management Static buffer management.
Flit size Variable number of bits for each flit.
Routing algorithm Deterministic.
Arbiter Round robin arbiter.
Maximum payload length Variable number of body flits per packet.
VC allocation type Separable input-first, separable output-first, and wave
front based VC allocation.
Traffic patterns Hot spot, bit-reversal , and uniform.
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019
of 38
Proposed Generic UVM
Architectures for NoC Platforms
15
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Traditional
Universal Verification Methodology (UVM)
❑ UVM:
- A complete verification environment composed of reusable verification
components.
- Needs no re-construction when design under test (DUT) changes.
- A comprehensive methodology of constrained-random, coverage-driven
verification.
* Basic UVM, Verification Academy.
16
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
UVM for Router Vs UVM for NoC
❑
17
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Proposed UVM Error Injection Methodologies for NoC Platforms
◎Faulty UVM sequence item or
transaction.
◎Faulty UVM reference model.
◎Bus error-injector agent
between routers.
18
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Proposed UVM Architecture to Support Error
Injection Techniques
19
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Proposed Generic UVM Architecture for NoC
20
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
A Detailed Description of Scoreboard Organization
21
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Proposed Generic UVM Environment for NoC
Emulation Platforms
22
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Novel dynamic error injection methodolgy using
hardware emulation for NoC platforms
23
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Mapping NoC parameters to UVM layers
24
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Simulation Results and NoC Performance Metrics1
◎Figs show the throughput and latency results respectively for 64-ary mesh and torus topologies for the
base router using 64-bit buffer size with a uniform distribution traffic pattern.
◎Results clearly show that the mesh topology has lower throughput and higher latency, as expected.
25
Throughput results of different network sizes for base router. Throughput results of different network sizes for base router.
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Simulation Results and NoC Performance Metrics2
◎we find that increasing the number of nodes per network will subsequently decrease the average
throughput and increase the average latency.
◎We also found that Torus topology has the highest average throughput and the lowest average
latency.
26
Throughput results of different network sizes for daniel router. Latency results of different network sizes for daniel router.
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Simulation Results and NoC Performance Metrics3
◎Experiments have been done using the 8x8 mesh topology network through a different
number of VCs; 1, 2 and 3.
◎Increasing number of VCs improves the network performance.
27
Throughput results of different number of VCs for Daniel router. Latency results of different number of VCs for daniel router.
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Simulation Results and NoC Performance Metrics4
◎Buffer size is the number of flits that can be held by the buffer.
◎Increasing buffer size improves the throughput, which improves the network performance at the
expense of the network area and power.
28
Throughput results of different buffer sizes for daniel router. Latency results of different buffer sizes for daniel router.
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Simulation Results: Case Studies Response (Error-Injection)
29
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
NoC miss-routing detection using functional coverage
30
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Functional coverage evaluation results between
pure and faulty RTL.
❑ Functional coverage results are evaluated according to the comparison between pure and
faulty RTL based on the implementation of one hundred coverpoints.
❑Coverage results are obtained by injecting functional errors through negative test
scenarios.
❑Results show that the functional coverage percentage is decreasing in a nonlinear relation
with respect to the cascaded errors that may happen due to the injected errors.
31
Number of injected
errors per one test
scenario
Percentage for pure
RTL
Percentage for faulty
RTL
2 100% 98%
4 100% 92%
7 100% 80%
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Conclusions
❑ This thesis presented reusable mechanisms for NoC platforms using UVM.
❑ A novel methodology of error injection based on bus-injector is proposed to detect NoC
transmission errors in the simulation environment.
❑ Verification plan for negative scenarios are applied to two NoC case studies and results
are evaluated to detect and check the error severity in the UVM SB.
❑ Experimental results show the efficiency of proposed techniques when adopting them
using UVM.
32
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Future Work
❑ Our future work would integrate the proposed UVM simulation environment to our
previous work [6] with RISC-V cores in NoC. The UVM environment will drive few
local ports, as shown in Figure and the other ports are driven by RISC-V processors.
❑ The aim of the future work is to ensure that all variants of our RISC-V processors may
handle error scenarios correctly and can respond to any type of error from the surrounding
components without crashing or freezing.
33
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Formal Publications of the Thesis
[1] S. El-Ashry, H. Ibrahim, M. A. Ibrahem, M. Khamis, A. Shalaby, M. AbdElsalam, and M. W. El-Kharashi. “On error injection for
NoC platforms: A UVM-based practical case study”. In Proceedings of the 10th International Workshop on Network on Chip
Architectures (NoCArc 2017), held in conjunction with the 50th Annual IEEE/ACM International Symposium on Microarcchitectures
(MICRO-50), article no. 2, Cambridge, MA, USA, October 15, 2017.
[2] Khamis, M., El-Ashry, S., Shalaby, A., AbdElsalam, M., & El-Kharashi, M. W. (2018, October). A Configurable RISC-V for
NoC-Based MPSoCs: A Framework for Hardware Emulation. In 2018 11th International Workshop on Network on Chip
Architectures (NoCArc) (pp. 1-6). IEEE.
[3] S. El-Ashry, M. Khamis, H. Ibrahim, A. Shalaby, M. AbdElsalam, and M. W. El-Kharashi. “On Error Injection for NoC
Platforms: A UVM-based Generic Verification Environment”. Submitted to IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems.
34
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Previous NoC Publications from Graduation Projects
[4] A. El-Naggar, E. Massoud, A. Medhat, H. Ibrahim, B. Al-Abassy, S. El-Ashry, M. Khamis, and A.
Shalaby,“A Narrative of UVM testbench environment for interconnection routers: A practical approach”, in
Proceedings of the 11th International Design & Test Symposium (IDT), 2016, pp. 98-103.
[5] A. S. Eissa, M. A. Ibrahem, M. A. Elmohr, Y. Zamzam, A. El-Yamany, S. El-Ashry, M. Khamis, and
A. Shalaby, “A reusable verification environment for NoC Platforms using UVM” in Proceedings of 17th
IEEE International Conference on Smart Technologies (EUROCON), 2017.
[6] Elmohr, M.A., Eissa, A.S., Ibrahim, M., Khamis, M., El-Ashry, S., Shalaby, A., AbdElsalam, M. and
El-Kharashi, M.W., 2018, March. RVNoC: A Framework for Generating RISC-V NoC-Based MPSoC. In
Parallel, Distributed and Network-based Processing (PDP), 2018 26th Euromicro International Conference
on (pp. 617-621). IEEE.
35
Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Acknowledge
◎ I want to thank all the attendees who come to support me.
◎ I want to express my thanks and gratitude to my advisors, Prof. Dr. Mohamed Watheq El-Kharashi,
Dr. Ahmed Shalaby, and Dr. Mohamed AbdelSalam for their support, encouragement and their efforts
and guidance to achieve strong contribution.
◎ I want to thank my colleague Mostafa Khamis for his collaboration with me and be responsible for
the design side to help me applying my verification ideas on his design.
◎ I wish to thank my wife Shahd Elkabbary for her support towards the defense during the
preparation for our wedding.
◎ Finally, I want to thank my parents, sister and friends, their supportive words and encouraging
messages kept me motivated to work harder and be a better engineer in the academia and the
industry.
36
Thesis Defence,17th of February, 2019, Cairo © 2019 of 38
Thanks for your attention
37
Thesis Defence,17th of February, 2019, Cairo © 2019
Questions?

More Related Content

What's hot

UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology TutorialArrow Devices
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelDVClub
 
SystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features SummarySystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features SummaryAmal Khailtash
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic SequencesArrow Devices
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Sameh El-Ashry
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptxAhmed Abdelazeem
 
UVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONUVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONIAEME Publication
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentationklinetik
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB ProtocolPushpa Yakkala
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and designSatya Harish
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingDVClub
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog Pushpa Yakkala
 
JTAG Interface (Intro)
JTAG Interface (Intro)JTAG Interface (Intro)
JTAG Interface (Intro)Nitesh Bhatia
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCRabindranath Tagore University, Bhopal
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesRamdas Mozhikunnath
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register PackageDVClub
 

What's hot (20)

UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
SystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features SummarySystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features Summary
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
UVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONUVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATION
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
Verification Challenges and Methodologies
Verification Challenges and MethodologiesVerification Challenges and Methodologies
Verification Challenges and Methodologies
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference Modeling
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
JTAG Interface (Intro)
JTAG Interface (Intro)JTAG Interface (Intro)
JTAG Interface (Intro)
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 

Similar to On the verification of configurable nocs in simulation and hardware emulation a uvm based tool

CFD Apps: Presentation of the Urban Wind Study App
CFD Apps: Presentation of the Urban Wind Study AppCFD Apps: Presentation of the Urban Wind Study App
CFD Apps: Presentation of the Urban Wind Study AppJulien de Charentenay
 
Gisfi Convergence india
Gisfi Convergence indiaGisfi Convergence india
Gisfi Convergence indiavijay
 
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC..."Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...Edge AI and Vision Alliance
 
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...A Real-Time Interface for Xcos – an illustrative demonstration using a batter...
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...Scilab
 
中国计算机学会推荐国际学术会议和期刊目录-2022.pdf
中国计算机学会推荐国际学术会议和期刊目录-2022.pdf中国计算机学会推荐国际学术会议和期刊目录-2022.pdf
中国计算机学会推荐国际学术会议和期刊目录-2022.pdfmacixcn
 
Rockets and Missiles Presentation @ Zeus Numerix
Rockets and Missiles Presentation @ Zeus NumerixRockets and Missiles Presentation @ Zeus Numerix
Rockets and Missiles Presentation @ Zeus NumerixAbhishek Jain
 
CFD & Coupled CFD Simulations @ Zeus Numerix
CFD & Coupled CFD Simulations @ Zeus NumerixCFD & Coupled CFD Simulations @ Zeus Numerix
CFD & Coupled CFD Simulations @ Zeus NumerixAbhishek Jain
 
186 devlin p-poster(2)
186 devlin p-poster(2)186 devlin p-poster(2)
186 devlin p-poster(2)vaidehi87
 
An Optimising Compiler For Generated Tiny Virtual Machines
An Optimising Compiler For Generated Tiny Virtual MachinesAn Optimising Compiler For Generated Tiny Virtual Machines
An Optimising Compiler For Generated Tiny Virtual MachinesLeslie Schulte
 
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast System
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast SystemIRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast System
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast SystemIRJET Journal
 
Weapon Detection using Artificial Intelligence and Deep Learning for Security...
Weapon Detection using Artificial Intelligence and Deep Learning for Security...Weapon Detection using Artificial Intelligence and Deep Learning for Security...
Weapon Detection using Artificial Intelligence and Deep Learning for Security...IRJET Journal
 
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...IRJET Journal
 
Firmware Develpment for hybrid (ARM and FPGA) processors
Firmware Develpment for hybrid (ARM and FPGA) processorsFirmware Develpment for hybrid (ARM and FPGA) processors
Firmware Develpment for hybrid (ARM and FPGA) processorsMirko Mariotti
 
OCP U.S. Summit 2017 Presentation
OCP U.S. Summit 2017 PresentationOCP U.S. Summit 2017 Presentation
OCP U.S. Summit 2017 PresentationNetronome
 
Virtual machine consolidation for cloud data centers using parameter based ad...
Virtual machine consolidation for cloud data centers using parameter based ad...Virtual machine consolidation for cloud data centers using parameter based ad...
Virtual machine consolidation for cloud data centers using parameter based ad...Abdelkhalik Mosa
 
Introducing ARM-X
Introducing ARM-XIntroducing ARM-X
Introducing ARM-XSaumil Shah
 
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...Modeling and Simulation of Parallel and Distributed Computing Systems with Si...
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...Rafael Ferreira da Silva
 

Similar to On the verification of configurable nocs in simulation and hardware emulation a uvm based tool (20)

CFD Apps: Presentation of the Urban Wind Study App
CFD Apps: Presentation of the Urban Wind Study AppCFD Apps: Presentation of the Urban Wind Study App
CFD Apps: Presentation of the Urban Wind Study App
 
Gisfi Convergence india
Gisfi Convergence indiaGisfi Convergence india
Gisfi Convergence india
 
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC..."Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...
"Efficient Deployment of Quantized ML Models at the Edge Using Snapdragon SoC...
 
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...A Real-Time Interface for Xcos – an illustrative demonstration using a batter...
A Real-Time Interface for Xcos – an illustrative demonstration using a batter...
 
中国计算机学会推荐国际学术会议和期刊目录-2022.pdf
中国计算机学会推荐国际学术会议和期刊目录-2022.pdf中国计算机学会推荐国际学术会议和期刊目录-2022.pdf
中国计算机学会推荐国际学术会议和期刊目录-2022.pdf
 
Rockets and Missiles Presentation @ Zeus Numerix
Rockets and Missiles Presentation @ Zeus NumerixRockets and Missiles Presentation @ Zeus Numerix
Rockets and Missiles Presentation @ Zeus Numerix
 
CFD & Coupled CFD Simulations @ Zeus Numerix
CFD & Coupled CFD Simulations @ Zeus NumerixCFD & Coupled CFD Simulations @ Zeus Numerix
CFD & Coupled CFD Simulations @ Zeus Numerix
 
186 devlin p-poster(2)
186 devlin p-poster(2)186 devlin p-poster(2)
186 devlin p-poster(2)
 
An Optimising Compiler For Generated Tiny Virtual Machines
An Optimising Compiler For Generated Tiny Virtual MachinesAn Optimising Compiler For Generated Tiny Virtual Machines
An Optimising Compiler For Generated Tiny Virtual Machines
 
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast System
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast SystemIRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast System
IRJET- Reliable and Energy-Efficient Hybrid Screen Mirroring Multicast System
 
Weapon Detection using Artificial Intelligence and Deep Learning for Security...
Weapon Detection using Artificial Intelligence and Deep Learning for Security...Weapon Detection using Artificial Intelligence and Deep Learning for Security...
Weapon Detection using Artificial Intelligence and Deep Learning for Security...
 
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...
Artificial Intelligence Based Method for Obtaining Aerodynamic Characteristic...
 
publication1
publication1publication1
publication1
 
Firmware Develpment for hybrid (ARM and FPGA) processors
Firmware Develpment for hybrid (ARM and FPGA) processorsFirmware Develpment for hybrid (ARM and FPGA) processors
Firmware Develpment for hybrid (ARM and FPGA) processors
 
OCP U.S. Summit 2017 Presentation
OCP U.S. Summit 2017 PresentationOCP U.S. Summit 2017 Presentation
OCP U.S. Summit 2017 Presentation
 
Virtual machine consolidation for cloud data centers using parameter based ad...
Virtual machine consolidation for cloud data centers using parameter based ad...Virtual machine consolidation for cloud data centers using parameter based ad...
Virtual machine consolidation for cloud data centers using parameter based ad...
 
Flying with SAVES
Flying with SAVESFlying with SAVES
Flying with SAVES
 
Introducing ARM-X
Introducing ARM-XIntroducing ARM-X
Introducing ARM-X
 
Y04507139147
Y04507139147Y04507139147
Y04507139147
 
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...Modeling and Simulation of Parallel and Distributed Computing Systems with Si...
Modeling and Simulation of Parallel and Distributed Computing Systems with Si...
 

Recently uploaded

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 

Recently uploaded (20)

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 

On the verification of configurable nocs in simulation and hardware emulation a uvm based tool

  • 1. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 On the Verification of Configurable NoCs in Simulation and Hardware Emulation: A UVM-based Tool Presented by: Sameh Mahmoud El-Ashry Master of Science in Computer and Systems Engineering, Ain Shams University, Cairo, Egypt Supervised By Prof. Dr. Mohamed Watheq Ali Kamel El-Kharashi Dr. Ahmed Mohammed Mohammed Hamada Shalaby Dr. Mohamed AbdelSalam Ahmed Hassan
  • 2. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Outlines ◎ Research Goals and Tool Design Flow. ◎ Introduction. ○ Why NoC? ○ Motivation ○ Why UVM for NoC Error-Injection? ◎ Case Studies. ○ A Base Router ○ Configurable Router: Daniel Router ◎ Proposed UVM Architectures to Support Simulation and Hardware Emulation. ◎ Proposed UVM Error Injection Methodologies. ◎ Simulation Results and NoC Performance Metrics. ◎ Proposed Functional Coverage Technique. ◎ Conclusion & Future Work. ◎ Publications. 2
  • 3. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Research Goals ❑ This research aims is to propose configurable verification tool-based user scripts based UVM methodology, which are implemented by the author. ❑ Extracting the verification requirements and proposing a novel UVM verification architecture. ❑ By proposing UVM architecture to verify the 2D/3D NOCs with different network topologies, routing algorithms and flow control methodologies. ❑ The architecture supports simulation and hardware emulation platforms. ❑ Simulate/Emulate the configurable verification tool with a Network on Chip Designs. 3
  • 4. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Tool Design Flow 4 NoC Generation Simulation or Hardware Emulation Error-Injection Optional Phase Performance Evaluation NoC Verification Environment Generation Simulation or Hardware Emulation Error-Injection Optional Phase Automated Checking End-to- End NoC library Perl Scripts R1 NI R2 NI R3 NI R4 NI R5 NI R6 NI R7 NI R8 NI R9 NI Interface Configurable UVM Environment
  • 5. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Why NOC? Network on Chip (NoC) Vs Bus NoC has become the trend for Multicore systems’ interconnections BUS IP 1 IP 2 IP 3 IP 4 IP 5 IP 6 IP 7 IP 8 IP 9 IP 10 IP 11 IP 12 IP 13 IP 14 IP 15 IP 16 IP 9 IP 10 R1 IP1 NI R2 IP2 NI R3 IP3 NI R4 IP4 NI R5 IP5 NI R6 IP6 NI R7 IP7 NI R8 IP8 NI R9 IP9 NI R10 IP10 NI R11 IP11 NI R12 IP12 NI R13 IP13 NI R14 IP14 NI R15 IP15 NI R16 IP16 NI 5
  • 6. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Noc-based Communications ◎NoC is a feasible and promising alternative solution to the problems adopted by bus-based systems. ◎It’s the most viable solution to cope with scalability of many-core systems as it meets performance, power and reliability requirements. ◎Controlling several NoC parameters such as Topology, Routing Algorithm and Flow Control greatly affect NoC performance. ◎Topology is chosen to match the optimal architecture. ◎Routing Algorithm achieves load balancing and low latency. ◎Flow Control determines how resources are shared among packets and how fairness is accomplished. 6
  • 7. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Motiviation Digital Systems performance depends on interconnections NoC to improve performance Seeking reliability and scalability of NoC Importance of Testbench Verification architecture UVM UVM features for NOC reusability 7
  • 8. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Why UVM (Universal Verification Methodology) for NoC Error-Injection? We want to check that NoC systems meet design specifications. We build verification environments to validate the reliability of NoC different architectures. We inject errors to verify that the system either handles them or ignores them. We cannot use traditional testbenches because NoCs are complex and scalable systems. We use UVM as it contains generic components that can be reused. 8
  • 9. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Role of the UVM Environment ◎The proposed UVM environments to mimic processor elements connected to NoC routers at some ports. 9
  • 10. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Dependences between faults, errors, and failures 10
  • 11. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Thesis Contributions ◎Designing generic, scalable, and reusable UVM architecture for NoCs using simulation and hardware emulation environments. ◎Applying different error-injection approaches for NoCs through the proposed generic UVM environments. ◎Mapping of NoC parameters to UVM layers to achieve the re-usability while changing router type. ◎Evaluating the base router and Daniel router as different case studies using the generic UVM architecture. ◎Applying functional coverage techniques to catch the source of injected errors. 11
  • 12. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Comparison between the proposed verification framework and open source tools 12 Connect MAIA ATLAS Proposed verification framework Tool type RTL generator RTL generator RTL generator Verification environment generator Testbench framework Ad-hoc Verilog Ad-hoc Verilog Ad-hoc SystemC UVM based Error injection mechanism Not Supported Not Supported Not Supported Supported Simulation platform Supported Supported Supported Supported Emulation platform Not Supported Not Supported Not Supported Supported Verification environment Topology dependent Topology dependent Topology dependent Topology independent
  • 13. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Case Studies A Base Router A Configurable Router: Daniel Router Input port East West North South Local Output port East West North South Local ReqCntReqUpstr GntUpstr FullUpstr PacketIn ReqDnstr GntDnstr FullDnstr PacketOut GntCnt Packet 13
  • 14. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 The routers parameters and configurations 14 Parameters Configurations Topology Mesh, torus and butterfly. Flow control Store and Forward. VCs Variable number of VCs per port. Buffers size Variable number for total input buffer size per port in flits. Buffer management Static buffer management. Flit size Variable number of bits for each flit. Routing algorithm Deterministic. Arbiter Round robin arbiter. Maximum payload length Variable number of body flits per packet. VC allocation type Separable input-first, separable output-first, and wave front based VC allocation. Traffic patterns Hot spot, bit-reversal , and uniform.
  • 15. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Proposed Generic UVM Architectures for NoC Platforms 15
  • 16. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Traditional Universal Verification Methodology (UVM) ❑ UVM: - A complete verification environment composed of reusable verification components. - Needs no re-construction when design under test (DUT) changes. - A comprehensive methodology of constrained-random, coverage-driven verification. * Basic UVM, Verification Academy. 16
  • 17. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 UVM for Router Vs UVM for NoC ❑ 17
  • 18. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Proposed UVM Error Injection Methodologies for NoC Platforms ◎Faulty UVM sequence item or transaction. ◎Faulty UVM reference model. ◎Bus error-injector agent between routers. 18
  • 19. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Proposed UVM Architecture to Support Error Injection Techniques 19
  • 20. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Proposed Generic UVM Architecture for NoC 20
  • 21. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 A Detailed Description of Scoreboard Organization 21
  • 22. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Proposed Generic UVM Environment for NoC Emulation Platforms 22
  • 23. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Novel dynamic error injection methodolgy using hardware emulation for NoC platforms 23
  • 24. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Mapping NoC parameters to UVM layers 24
  • 25. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Simulation Results and NoC Performance Metrics1 ◎Figs show the throughput and latency results respectively for 64-ary mesh and torus topologies for the base router using 64-bit buffer size with a uniform distribution traffic pattern. ◎Results clearly show that the mesh topology has lower throughput and higher latency, as expected. 25 Throughput results of different network sizes for base router. Throughput results of different network sizes for base router.
  • 26. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Simulation Results and NoC Performance Metrics2 ◎we find that increasing the number of nodes per network will subsequently decrease the average throughput and increase the average latency. ◎We also found that Torus topology has the highest average throughput and the lowest average latency. 26 Throughput results of different network sizes for daniel router. Latency results of different network sizes for daniel router.
  • 27. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Simulation Results and NoC Performance Metrics3 ◎Experiments have been done using the 8x8 mesh topology network through a different number of VCs; 1, 2 and 3. ◎Increasing number of VCs improves the network performance. 27 Throughput results of different number of VCs for Daniel router. Latency results of different number of VCs for daniel router.
  • 28. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Simulation Results and NoC Performance Metrics4 ◎Buffer size is the number of flits that can be held by the buffer. ◎Increasing buffer size improves the throughput, which improves the network performance at the expense of the network area and power. 28 Throughput results of different buffer sizes for daniel router. Latency results of different buffer sizes for daniel router.
  • 29. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Simulation Results: Case Studies Response (Error-Injection) 29
  • 30. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 NoC miss-routing detection using functional coverage 30
  • 31. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Functional coverage evaluation results between pure and faulty RTL. ❑ Functional coverage results are evaluated according to the comparison between pure and faulty RTL based on the implementation of one hundred coverpoints. ❑Coverage results are obtained by injecting functional errors through negative test scenarios. ❑Results show that the functional coverage percentage is decreasing in a nonlinear relation with respect to the cascaded errors that may happen due to the injected errors. 31 Number of injected errors per one test scenario Percentage for pure RTL Percentage for faulty RTL 2 100% 98% 4 100% 92% 7 100% 80%
  • 32. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Conclusions ❑ This thesis presented reusable mechanisms for NoC platforms using UVM. ❑ A novel methodology of error injection based on bus-injector is proposed to detect NoC transmission errors in the simulation environment. ❑ Verification plan for negative scenarios are applied to two NoC case studies and results are evaluated to detect and check the error severity in the UVM SB. ❑ Experimental results show the efficiency of proposed techniques when adopting them using UVM. 32
  • 33. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Future Work ❑ Our future work would integrate the proposed UVM simulation environment to our previous work [6] with RISC-V cores in NoC. The UVM environment will drive few local ports, as shown in Figure and the other ports are driven by RISC-V processors. ❑ The aim of the future work is to ensure that all variants of our RISC-V processors may handle error scenarios correctly and can respond to any type of error from the surrounding components without crashing or freezing. 33
  • 34. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Formal Publications of the Thesis [1] S. El-Ashry, H. Ibrahim, M. A. Ibrahem, M. Khamis, A. Shalaby, M. AbdElsalam, and M. W. El-Kharashi. “On error injection for NoC platforms: A UVM-based practical case study”. In Proceedings of the 10th International Workshop on Network on Chip Architectures (NoCArc 2017), held in conjunction with the 50th Annual IEEE/ACM International Symposium on Microarcchitectures (MICRO-50), article no. 2, Cambridge, MA, USA, October 15, 2017. [2] Khamis, M., El-Ashry, S., Shalaby, A., AbdElsalam, M., & El-Kharashi, M. W. (2018, October). A Configurable RISC-V for NoC-Based MPSoCs: A Framework for Hardware Emulation. In 2018 11th International Workshop on Network on Chip Architectures (NoCArc) (pp. 1-6). IEEE. [3] S. El-Ashry, M. Khamis, H. Ibrahim, A. Shalaby, M. AbdElsalam, and M. W. El-Kharashi. “On Error Injection for NoC Platforms: A UVM-based Generic Verification Environment”. Submitted to IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 34
  • 35. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Previous NoC Publications from Graduation Projects [4] A. El-Naggar, E. Massoud, A. Medhat, H. Ibrahim, B. Al-Abassy, S. El-Ashry, M. Khamis, and A. Shalaby,“A Narrative of UVM testbench environment for interconnection routers: A practical approach”, in Proceedings of the 11th International Design & Test Symposium (IDT), 2016, pp. 98-103. [5] A. S. Eissa, M. A. Ibrahem, M. A. Elmohr, Y. Zamzam, A. El-Yamany, S. El-Ashry, M. Khamis, and A. Shalaby, “A reusable verification environment for NoC Platforms using UVM” in Proceedings of 17th IEEE International Conference on Smart Technologies (EUROCON), 2017. [6] Elmohr, M.A., Eissa, A.S., Ibrahim, M., Khamis, M., El-Ashry, S., Shalaby, A., AbdElsalam, M. and El-Kharashi, M.W., 2018, March. RVNoC: A Framework for Generating RISC-V NoC-Based MPSoC. In Parallel, Distributed and Network-based Processing (PDP), 2018 26th Euromicro International Conference on (pp. 617-621). IEEE. 35
  • 36. Thesis Defence,17th of February, 2019, Cairo © 2019Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Acknowledge ◎ I want to thank all the attendees who come to support me. ◎ I want to express my thanks and gratitude to my advisors, Prof. Dr. Mohamed Watheq El-Kharashi, Dr. Ahmed Shalaby, and Dr. Mohamed AbdelSalam for their support, encouragement and their efforts and guidance to achieve strong contribution. ◎ I want to thank my colleague Mostafa Khamis for his collaboration with me and be responsible for the design side to help me applying my verification ideas on his design. ◎ I wish to thank my wife Shahd Elkabbary for her support towards the defense during the preparation for our wedding. ◎ Finally, I want to thank my parents, sister and friends, their supportive words and encouraging messages kept me motivated to work harder and be a better engineer in the academia and the industry. 36
  • 37. Thesis Defence,17th of February, 2019, Cairo © 2019 of 38 Thanks for your attention 37
  • 38. Thesis Defence,17th of February, 2019, Cairo © 2019 Questions?