SlideShare a Scribd company logo
1 of 8
Structural VHDLof1-Bit Full AdderEE160Wright State University
Structural FA The Top Level Design (entity) of a 1-bit Full-Adder looks like this: A B Cout Cin FA_1 Sum  ,[object Object],entity FA_1 is    port(A,B,Cin : in std_logic;            Sum, Cout : out std_logic); end; end;
Structural FA At this point we only have an empty box with ports.  We need to specify the internal details of our entity.   Sum  A B Cout Cin FA_1 ,[object Object],[object Object]
In structural modeling, the internal details of an entity are specified by an architecture body that contains interconnected components. For the FA example, we must connect our XOR_2, AND_2, and OR_2 gates appropriately, using intermediate signals where necessary. The next slide shows how the components must be connected together.  The yellow wires are necessary intermediate signals. Structural FA
Structural FA FA_1 A XOR_2 A sig(0) A XOR_2 Sum  B Z B B Z Cin A AND_2 B sig(1) Z A Cout OR_2 B Z A AND_2 sig(2) B Z
Structural FA The left side is the component declaration, the right side is component instantiation.  The bolded ports are the component’s ports.  The commented U4 shows implicit connection as opposed to explicit, which is functionally equivalent. begin    U0: XOR_2 port map(A=>A, B=>B, Z=>sig(0)); U1: XOR_2 port map(A=>sig(0), B=>Cin, Z=>Sum); U2: AND_2 port map(A=>Cin, B=>sig(0), Z=>sig(1)); U3: AND_2  port map(A=>A, B=>B, Z=>sig(2)); U4: OR_2 port map(A=>sig(1), B=>sig(2), Z=>Cout); --U4: OR_2 port map(sig(1), sig(2), Cout); --functionally the same      end;  architecture  STRUCT of FA_1 is    component XOR_2 port(A,B:instd_logic; Z:out std_logic);    end component;    component AND_2 port(A,B:instd_logic; Z:out std_logic);    end component;    component OR_2 port(A,B:instd_logic; Z:out std_logic);    end component;    signal sig : std_logic_vector(2 downto 0);
Now that you’ve written the code for the FA_1, you can use it as a component in an FA_4, or an add/subtract circuit. Remember that you can connect the ports of an entity to the ports of a component (and vice versa), but you need to create local signals to connect a component to another component within an entity. Structural FA
Structural vhdl

More Related Content

What's hot

Ass.(2)applications of logic u.s d.m
Ass.(2)applications of logic u.s d.mAss.(2)applications of logic u.s d.m
Ass.(2)applications of logic u.s d.m
Syed Umair
 
Instructionformatreport 110419102141-phpapp02
Instructionformatreport 110419102141-phpapp02Instructionformatreport 110419102141-phpapp02
Instructionformatreport 110419102141-phpapp02
Shivani Gautam
 

What's hot (20)

Introduction to Boolean Algebra
Introduction to Boolean AlgebraIntroduction to Boolean Algebra
Introduction to Boolean Algebra
 
Logic gates
Logic gatesLogic gates
Logic gates
 
C programming part2
C programming part2C programming part2
C programming part2
 
BOOLEAN ALGEBRA AND LOGIC GATE
BOOLEAN ALGEBRA AND LOGIC GATE BOOLEAN ALGEBRA AND LOGIC GATE
BOOLEAN ALGEBRA AND LOGIC GATE
 
Jvmls 2019 feedback valhalla update
Jvmls 2019 feedback   valhalla updateJvmls 2019 feedback   valhalla update
Jvmls 2019 feedback valhalla update
 
Logic Fe Tcom
Logic Fe TcomLogic Fe Tcom
Logic Fe Tcom
 
Ass.(2)applications of logic u.s d.m
Ass.(2)applications of logic u.s d.mAss.(2)applications of logic u.s d.m
Ass.(2)applications of logic u.s d.m
 
Introduction to digital logic
Introduction to digital logicIntroduction to digital logic
Introduction to digital logic
 
Digital Basics
Digital BasicsDigital Basics
Digital Basics
 
C programming session3
C programming  session3C programming  session3
C programming session3
 
7 realization of logic function using logic gates (1)
7 realization of logic function using logic gates (1)7 realization of logic function using logic gates (1)
7 realization of logic function using logic gates (1)
 
Intermediate code generation
Intermediate code generationIntermediate code generation
Intermediate code generation
 
Intermediate code
Intermediate codeIntermediate code
Intermediate code
 
Introduction to logic gate
Introduction to logic gateIntroduction to logic gate
Introduction to logic gate
 
Digital electronics
Digital electronicsDigital electronics
Digital electronics
 
VHDL- data types
VHDL- data typesVHDL- data types
VHDL- data types
 
INTEL 8086 MP Architecture
INTEL 8086 MP ArchitectureINTEL 8086 MP Architecture
INTEL 8086 MP Architecture
 
Theory1&2
Theory1&2Theory1&2
Theory1&2
 
Logic Gates
Logic GatesLogic Gates
Logic Gates
 
Instructionformatreport 110419102141-phpapp02
Instructionformatreport 110419102141-phpapp02Instructionformatreport 110419102141-phpapp02
Instructionformatreport 110419102141-phpapp02
 

Viewers also liked (8)

VHDL Part 4
VHDL Part 4VHDL Part 4
VHDL Part 4
 
VHDL
VHDLVHDL
VHDL
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdl
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 

Similar to Structural vhdl

vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
 
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa1608 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
John Todora
 

Similar to Structural vhdl (20)

Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Combinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatreCombinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatre
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Combinational logic circuits design and implementation
Combinational logic circuits design and implementationCombinational logic circuits design and implementation
Combinational logic circuits design and implementation
 
Exclusive OR GAte
Exclusive OR GAteExclusive OR GAte
Exclusive OR GAte
 
Digital logic mohammed salim ch3
Digital logic mohammed salim ch3Digital logic mohammed salim ch3
Digital logic mohammed salim ch3
 
Bt0064 logic design1
Bt0064 logic design1Bt0064 logic design1
Bt0064 logic design1
 
chapter-3-logic-gates.pdf
chapter-3-logic-gates.pdfchapter-3-logic-gates.pdf
chapter-3-logic-gates.pdf
 
chapter-3-logic-gates.pdf
chapter-3-logic-gates.pdfchapter-3-logic-gates.pdf
chapter-3-logic-gates.pdf
 
M. FLORENCE DAYANA/unit - II logic gates and circuits.pdf
M. FLORENCE DAYANA/unit - II logic gates and circuits.pdfM. FLORENCE DAYANA/unit - II logic gates and circuits.pdf
M. FLORENCE DAYANA/unit - II logic gates and circuits.pdf
 
Lecture 04-Digital logic gates.pptx
Lecture 04-Digital logic gates.pptxLecture 04-Digital logic gates.pptx
Lecture 04-Digital logic gates.pptx
 
12.Digital Logic.pdf
12.Digital Logic.pdf12.Digital Logic.pdf
12.Digital Logic.pdf
 
Lecturer mid.ppt
Lecturer mid.pptLecturer mid.ppt
Lecturer mid.ppt
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manual
 
e CAD lab manual
e CAD lab manuale CAD lab manual
e CAD lab manual
 
Module 4 Logic Circuits.pptx
Module 4 Logic Circuits.pptxModule 4 Logic Circuits.pptx
Module 4 Logic Circuits.pptx
 
Logic gates
Logic gatesLogic gates
Logic gates
 
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa1608 chapter03 06_status_bits_otl_otu_scan_logic_fa16
08 chapter03 06_status_bits_otl_otu_scan_logic_fa16
 
VHDL Entity
VHDL EntityVHDL Entity
VHDL Entity
 

Recently uploaded

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 

Recently uploaded (20)

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot ModelMcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 

Structural vhdl

  • 1. Structural VHDLof1-Bit Full AdderEE160Wright State University
  • 2.
  • 3.
  • 4. In structural modeling, the internal details of an entity are specified by an architecture body that contains interconnected components. For the FA example, we must connect our XOR_2, AND_2, and OR_2 gates appropriately, using intermediate signals where necessary. The next slide shows how the components must be connected together. The yellow wires are necessary intermediate signals. Structural FA
  • 5. Structural FA FA_1 A XOR_2 A sig(0) A XOR_2 Sum B Z B B Z Cin A AND_2 B sig(1) Z A Cout OR_2 B Z A AND_2 sig(2) B Z
  • 6. Structural FA The left side is the component declaration, the right side is component instantiation. The bolded ports are the component’s ports. The commented U4 shows implicit connection as opposed to explicit, which is functionally equivalent. begin U0: XOR_2 port map(A=>A, B=>B, Z=>sig(0)); U1: XOR_2 port map(A=>sig(0), B=>Cin, Z=>Sum); U2: AND_2 port map(A=>Cin, B=>sig(0), Z=>sig(1)); U3: AND_2 port map(A=>A, B=>B, Z=>sig(2)); U4: OR_2 port map(A=>sig(1), B=>sig(2), Z=>Cout); --U4: OR_2 port map(sig(1), sig(2), Cout); --functionally the same end; architecture STRUCT of FA_1 is component XOR_2 port(A,B:instd_logic; Z:out std_logic); end component; component AND_2 port(A,B:instd_logic; Z:out std_logic); end component; component OR_2 port(A,B:instd_logic; Z:out std_logic); end component; signal sig : std_logic_vector(2 downto 0);
  • 7. Now that you’ve written the code for the FA_1, you can use it as a component in an FA_4, or an add/subtract circuit. Remember that you can connect the ports of an entity to the ports of a component (and vice versa), but you need to create local signals to connect a component to another component within an entity. Structural FA