SlideShare a Scribd company logo
1 of 5
Download to read offline
www.softroniics.in
An ISO 9001:2008 certified Company
SOFTRONIICS Call: 9037291113
CALICUT || PALAKKAD || COIMBATORE 04954021113
0491 6061113
Detailed Syllabus: - VERILOG BASED
1 Introduction to VLSI Design
Introduction
2 Combinational Circuit Design
Components of Combinational Design - Multiplexer and
Decoder
Multiplexer Based Design of Combinational Circuits
Implementation of Full Adder using Multiplexer
Decoder
Implementation of Full Adder using Decoder
3 Programmable Logic Devices
Types of Programmable Logic Devices Combinational Logic
Examples
PROM - Fixed AND Array and Programmable OR Array
Implementation of Functions using PROM
PLA - Programmable Logic Array
PLA - Implementation Example
4 Programmable Array Logic
PAL - Programmable Array Logic
Comparison of PROM, PLA and PAL
Implementation of a Function using PAL Types of PAL Outputs
www.softroniics.in
An ISO 9001:2008 certified Company
SOFTRONIICS Call: 9037291113
CALICUT || PALAKKAD || COIMBATORE 04954021113
0491 6061113
Device Examples
5 Verilog Modeling of Combinational Circuits
Introduction to Verilog
Levels of Abstraction
Realization of Combinational Circuits
Verilog Code for Multiplexers and Demultiplexers Realization
of a Full Adder
Behavioral, Data Flow and Structural Realization Realization of
a Magnitude Comparator
6 RTL Coding Guidelines
RTL Coding Guidelines - Introduction
RTL Coding Style
Separation of Combinational and Sequential Circuits
“if - else if - else” statements for MUX and Priority Encoder
Realizations Verilog Directives - Case Statements
Operators
7 Coding Organization - Complete Realization
Introduction to Coding Organization Design Module - a Model
Complete Code for Combinational and Sequential Circuits
8 Coding Organization - Complete Realization (Continued)
www.softroniics.in
An ISO 9001:2008 certified Company
SOFTRONIICS Call: 9037291113
CALICUT || PALAKKAD || COIMBATORE 04954021113
0491 6061113
Complete Code for Sequential Circuits
- Right Shift Register
- Parallel to Serial Converter
- Model State Machine
- Pattern Sequence Detector
Test Bench for Combinational Circuits
9 Writing a Test Bench
Test bench for simple design - AND gate Test bench for
Combinational Circuits Test bench for Sequential Circuits
10 Design Flow of VLSI Circuits
Top-down Design Methodology
Bottom-up Design Methodology
Simulation of Verilog Codes using Modelsim
Test Bench and Simulation of a Simple Design
11 Simulation of Combinational Circuits
12 Analysis of Waveforms using Modelsim
Analysis of Waveforms
13 Analysis of Waveforms using Modelsim (Continued)
www.softroniics.in
An ISO 9001:2008 certified Company
SOFTRONIICS Call: 9037291113
CALICUT || PALAKKAD || COIMBATORE 04954021113
0491 6061113
Analysis of Waveforms of a Model State Machine (Continued)
Analysis of Waveforms of a Pattern Sequence Detector
14 ModelSim Simulation Tool
ModelSim Command Summary
15 Synthesis Tool
More Features of Modelsim
Commands Continued - Optimized Verilog File
Viewing Verilog Code as RTL Schematic Circuit Diagrams
16 Synopsys Full and Parallel Cases
Compilation/Load Errors and Correction using Modelsim and
Synplify Tools (Continued)
Synopsys Full Case - RTL View
Synopsys Parallel Case - RTL View
Xilinx Place & Route Tool - Design Manager
Xilinx Place & Route Tool - Command Summary Place & Route
Tool Report
17 Xilinx Place & Route Tool
Xilinx Place & Route Tool Report Creation of “Bit” File
Synthesis Revisited - Waveform Analysis of Optimized File
Various Report Files of Xilinx Place & Route Tool
www.softroniics.in
An ISO 9001:2008 certified Company
SOFTRONIICS Call: 9037291113
CALICUT || PALAKKAD || COIMBATORE 04954021113
0491 6061113
18 Advanced Features of Xilinx Project Navigator
Place and Route and Back Annotation Using Xilinx Project
Navigator
- Command Summary of Navigator
19. Introduction to FPGA Kit
20. Familiarizing with FPGA Kit

More Related Content

What's hot

Introduction to Recursion (Python)
Introduction to Recursion (Python)Introduction to Recursion (Python)
Introduction to Recursion (Python)Thai Pangsakulyanont
 
Chapter 2 Decision Making (Python Programming Lecture)
Chapter 2 Decision Making (Python Programming Lecture)Chapter 2 Decision Making (Python Programming Lecture)
Chapter 2 Decision Making (Python Programming Lecture)IoT Code Lab
 
Processamento de Imagens - Filtro Laplaciano
Processamento de Imagens - Filtro LaplacianoProcessamento de Imagens - Filtro Laplaciano
Processamento de Imagens - Filtro LaplacianoLucas Sabadini
 
Python NumPy Tutorial | NumPy Array | Edureka
Python NumPy Tutorial | NumPy Array | EdurekaPython NumPy Tutorial | NumPy Array | Edureka
Python NumPy Tutorial | NumPy Array | EdurekaEdureka!
 
Overview of python 2019
Overview of python 2019Overview of python 2019
Overview of python 2019Samir Mohanty
 
Introduction to python
Introduction to pythonIntroduction to python
Introduction to pythonYi-Fan Chu
 
일상대화 챗봇 '드림이' 제작기
일상대화 챗봇 '드림이' 제작기일상대화 챗봇 '드림이' 제작기
일상대화 챗봇 '드림이' 제작기Yejee Choi
 
Assembly language 8086 intermediate
Assembly language 8086 intermediateAssembly language 8086 intermediate
Assembly language 8086 intermediateJohn Cutajar
 
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010Claire O'Keeffe
 
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem C
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem CMicrocontroladores PIC - Manipulação de pinos de I/O com a Linguagem C
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem CFabio Souza
 
Intro to Python Programming Language
Intro to Python Programming LanguageIntro to Python Programming Language
Intro to Python Programming LanguageDipankar Achinta
 
Chapter 0 Python Overview (Python Programming Lecture)
Chapter 0 Python Overview (Python Programming Lecture)Chapter 0 Python Overview (Python Programming Lecture)
Chapter 0 Python Overview (Python Programming Lecture)IoT Code Lab
 

What's hot (15)

Top 10 python ide
Top 10 python ideTop 10 python ide
Top 10 python ide
 
Introduction to Recursion (Python)
Introduction to Recursion (Python)Introduction to Recursion (Python)
Introduction to Recursion (Python)
 
Chapter 2 Decision Making (Python Programming Lecture)
Chapter 2 Decision Making (Python Programming Lecture)Chapter 2 Decision Making (Python Programming Lecture)
Chapter 2 Decision Making (Python Programming Lecture)
 
Processamento de Imagens - Filtro Laplaciano
Processamento de Imagens - Filtro LaplacianoProcessamento de Imagens - Filtro Laplaciano
Processamento de Imagens - Filtro Laplaciano
 
Python NumPy Tutorial | NumPy Array | Edureka
Python NumPy Tutorial | NumPy Array | EdurekaPython NumPy Tutorial | NumPy Array | Edureka
Python NumPy Tutorial | NumPy Array | Edureka
 
Overview of python 2019
Overview of python 2019Overview of python 2019
Overview of python 2019
 
Introduction to python
Introduction to pythonIntroduction to python
Introduction to python
 
일상대화 챗봇 '드림이' 제작기
일상대화 챗봇 '드림이' 제작기일상대화 챗봇 '드림이' 제작기
일상대화 챗봇 '드림이' 제작기
 
Python Scipy Numpy
Python Scipy NumpyPython Scipy Numpy
Python Scipy Numpy
 
Python ppt
Python pptPython ppt
Python ppt
 
Assembly language 8086 intermediate
Assembly language 8086 intermediateAssembly language 8086 intermediate
Assembly language 8086 intermediate
 
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010
IC Mask Design - IC Layout Acceleration Tool - DAC Conference, June 2010
 
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem C
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem CMicrocontroladores PIC - Manipulação de pinos de I/O com a Linguagem C
Microcontroladores PIC - Manipulação de pinos de I/O com a Linguagem C
 
Intro to Python Programming Language
Intro to Python Programming LanguageIntro to Python Programming Language
Intro to Python Programming Language
 
Chapter 0 Python Overview (Python Programming Lecture)
Chapter 0 Python Overview (Python Programming Lecture)Chapter 0 Python Overview (Python Programming Lecture)
Chapter 0 Python Overview (Python Programming Lecture)
 

Similar to Vlsi course syllabus verilog language

Pradeep_Automation_CV_5+yrs_exp_Doc
Pradeep_Automation_CV_5+yrs_exp_DocPradeep_Automation_CV_5+yrs_exp_Doc
Pradeep_Automation_CV_5+yrs_exp_DocPradeep Kumar
 
OPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT TECHNOLOGIES
 
Timing verification of real-time automotive Ethernet networks: what can we ex...
Timing verification of real-time automotive Ethernet networks: what can we ex...Timing verification of real-time automotive Ethernet networks: what can we ex...
Timing verification of real-time automotive Ethernet networks: what can we ex...RealTime-at-Work (RTaW)
 
Model-based Development for Vehicular Embedded Systems
Model-based Development for Vehicular Embedded SystemsModel-based Development for Vehicular Embedded Systems
Model-based Development for Vehicular Embedded SystemsAlessio Bucaioni
 
Microcontroller Based Testing of Digital IP-Core
Microcontroller Based Testing of Digital IP-CoreMicrocontroller Based Testing of Digital IP-Core
Microcontroller Based Testing of Digital IP-CoreVLSICS Design
 
A_Brief_Summary_on_Summer_Courses[1]
A_Brief_Summary_on_Summer_Courses[1]A_Brief_Summary_on_Summer_Courses[1]
A_Brief_Summary_on_Summer_Courses[1]Gayatri Kindo
 
Functest in Depth
Functest in DepthFunctest in Depth
Functest in DepthOPNFV
 
Innovate with confidence – Functional Verification of Embedded Algorithms
Innovate with confidence – Functional Verification of Embedded AlgorithmsInnovate with confidence – Functional Verification of Embedded Algorithms
Innovate with confidence – Functional Verification of Embedded AlgorithmsJoachim Schlosser
 
Planning and Control Algorithms Model-Based Approach (State-Space)
Planning and Control Algorithms Model-Based Approach (State-Space)Planning and Control Algorithms Model-Based Approach (State-Space)
Planning and Control Algorithms Model-Based Approach (State-Space)M Reza Rahmati
 
Presentation pfe
Presentation pfePresentation pfe
Presentation pfeMalik Riahi
 
Software Architecture Challenges in Process Automation - From Code Generation...
Software Architecture Challenges in Process Automation - From Code Generation...Software Architecture Challenges in Process Automation - From Code Generation...
Software Architecture Challenges in Process Automation - From Code Generation...SEAA 2022
 
Iben from Spirent talks at the SDN World Congress about the importance of and...
Iben from Spirent talks at the SDN World Congress about the importance of and...Iben from Spirent talks at the SDN World Congress about the importance of and...
Iben from Spirent talks at the SDN World Congress about the importance of and...Iben Rodriguez
 
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin LabsQ1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin LabsMemory Fabric Forum
 
TechTalk_Cloud Performance Testing_0.6
TechTalk_Cloud Performance Testing_0.6TechTalk_Cloud Performance Testing_0.6
TechTalk_Cloud Performance Testing_0.6Sravanthi N
 
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...SANTIAGO PABLO ALBERTO
 
GenerationRFID Test & Embedded Electronics Technology Company
GenerationRFID Test & Embedded Electronics Technology CompanyGenerationRFID Test & Embedded Electronics Technology Company
GenerationRFID Test & Embedded Electronics Technology CompanyÀngels Pinyol Escala
 
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...Intland Software GmbH
 

Similar to Vlsi course syllabus verilog language (20)

PowerDRC/LVS 2.0 Overview
PowerDRC/LVS 2.0 OverviewPowerDRC/LVS 2.0 Overview
PowerDRC/LVS 2.0 Overview
 
Pradeep_Automation_CV_5+yrs_exp_Doc
Pradeep_Automation_CV_5+yrs_exp_DocPradeep_Automation_CV_5+yrs_exp_Doc
Pradeep_Automation_CV_5+yrs_exp_Doc
 
OPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay Testing
 
Timing verification of real-time automotive Ethernet networks: what can we ex...
Timing verification of real-time automotive Ethernet networks: what can we ex...Timing verification of real-time automotive Ethernet networks: what can we ex...
Timing verification of real-time automotive Ethernet networks: what can we ex...
 
Model-based Development for Vehicular Embedded Systems
Model-based Development for Vehicular Embedded SystemsModel-based Development for Vehicular Embedded Systems
Model-based Development for Vehicular Embedded Systems
 
Microcontroller Based Testing of Digital IP-Core
Microcontroller Based Testing of Digital IP-CoreMicrocontroller Based Testing of Digital IP-Core
Microcontroller Based Testing of Digital IP-Core
 
A_Brief_Summary_on_Summer_Courses[1]
A_Brief_Summary_on_Summer_Courses[1]A_Brief_Summary_on_Summer_Courses[1]
A_Brief_Summary_on_Summer_Courses[1]
 
project_ppt[1].pptx
project_ppt[1].pptxproject_ppt[1].pptx
project_ppt[1].pptx
 
Mentor vlsi lab btech_4_1
Mentor vlsi lab btech_4_1Mentor vlsi lab btech_4_1
Mentor vlsi lab btech_4_1
 
Functest in Depth
Functest in DepthFunctest in Depth
Functest in Depth
 
Innovate with confidence – Functional Verification of Embedded Algorithms
Innovate with confidence – Functional Verification of Embedded AlgorithmsInnovate with confidence – Functional Verification of Embedded Algorithms
Innovate with confidence – Functional Verification of Embedded Algorithms
 
Planning and Control Algorithms Model-Based Approach (State-Space)
Planning and Control Algorithms Model-Based Approach (State-Space)Planning and Control Algorithms Model-Based Approach (State-Space)
Planning and Control Algorithms Model-Based Approach (State-Space)
 
Presentation pfe
Presentation pfePresentation pfe
Presentation pfe
 
Software Architecture Challenges in Process Automation - From Code Generation...
Software Architecture Challenges in Process Automation - From Code Generation...Software Architecture Challenges in Process Automation - From Code Generation...
Software Architecture Challenges in Process Automation - From Code Generation...
 
Iben from Spirent talks at the SDN World Congress about the importance of and...
Iben from Spirent talks at the SDN World Congress about the importance of and...Iben from Spirent talks at the SDN World Congress about the importance of and...
Iben from Spirent talks at the SDN World Congress about the importance of and...
 
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin LabsQ1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
 
TechTalk_Cloud Performance Testing_0.6
TechTalk_Cloud Performance Testing_0.6TechTalk_Cloud Performance Testing_0.6
TechTalk_Cloud Performance Testing_0.6
 
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...
Electrónica: Sistema de prueba Guía de desarrollo. Un manual completo para in...
 
GenerationRFID Test & Embedded Electronics Technology Company
GenerationRFID Test & Embedded Electronics Technology CompanyGenerationRFID Test & Embedded Electronics Technology Company
GenerationRFID Test & Embedded Electronics Technology Company
 
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...
Bertrandt | Automotive Best Practice: How to Design, Review, Approve, and Eff...
 

More from Softroniics india

Hostel management system project report
Hostel management system project reportHostel management system project report
Hostel management system project reportSoftroniics india
 
Signal processing and image processing
Signal processing and image processingSignal processing and image processing
Signal processing and image processingSoftroniics india
 
Mechanical and automobile project topic list softroniics calicut palakkad
Mechanical and automobile project topic list softroniics calicut palakkadMechanical and automobile project topic list softroniics calicut palakkad
Mechanical and automobile project topic list softroniics calicut palakkadSoftroniics india
 
Project titles with abstract php
Project titles with abstract phpProject titles with abstract php
Project titles with abstract phpSoftroniics india
 
A real time adaptive algorithm for video streaming over multiple wireless acc...
A real time adaptive algorithm for video streaming over multiple wireless acc...A real time adaptive algorithm for video streaming over multiple wireless acc...
A real time adaptive algorithm for video streaming over multiple wireless acc...Softroniics india
 
Embedded System Course and Project
Embedded System Course and ProjectEmbedded System Course and Project
Embedded System Course and ProjectSoftroniics india
 
Raspberry pi course syllabus
Raspberry pi course syllabusRaspberry pi course syllabus
Raspberry pi course syllabusSoftroniics india
 
Android course syllabus 2017
Android course syllabus 2017Android course syllabus 2017
Android course syllabus 2017Softroniics india
 
Python course updated syllabus
Python course updated syllabusPython course updated syllabus
Python course updated syllabusSoftroniics india
 
Query aware determinization of uncertain objects
Query aware determinization of uncertain objectsQuery aware determinization of uncertain objects
Query aware determinization of uncertain objectsSoftroniics india
 
User defined privacy grid system for continuous location based services abstract
User defined privacy grid system for continuous location based services abstractUser defined privacy grid system for continuous location based services abstract
User defined privacy grid system for continuous location based services abstractSoftroniics india
 
Ieee 2016 cs project topics list mtech
Ieee 2016 cs project topics  list mtechIeee 2016 cs project topics  list mtech
Ieee 2016 cs project topics list mtechSoftroniics india
 
Android course syllabus 2016
Android course syllabus 2016Android course syllabus 2016
Android course syllabus 2016Softroniics india
 
Internship in php based web designing
Internship in php based web designing Internship in php based web designing
Internship in php based web designing Softroniics india
 
Asp net-certification-course-syllabus
Asp net-certification-course-syllabusAsp net-certification-course-syllabus
Asp net-certification-course-syllabusSoftroniics india
 
Ieee power electronics 2014 15
Ieee power electronics 2014 15Ieee power electronics 2014 15
Ieee power electronics 2014 15Softroniics india
 
Latest embedded project abstracts
Latest embedded project abstractsLatest embedded project abstracts
Latest embedded project abstractsSoftroniics india
 
Raspberry pi based project abstracts
Raspberry pi based project abstractsRaspberry pi based project abstracts
Raspberry pi based project abstractsSoftroniics india
 

More from Softroniics india (20)

Hostel management system project report
Hostel management system project reportHostel management system project report
Hostel management system project report
 
Signal processing and image processing
Signal processing and image processingSignal processing and image processing
Signal processing and image processing
 
Mechanical and automobile project topic list softroniics calicut palakkad
Mechanical and automobile project topic list softroniics calicut palakkadMechanical and automobile project topic list softroniics calicut palakkad
Mechanical and automobile project topic list softroniics calicut palakkad
 
Project titles with abstract php
Project titles with abstract phpProject titles with abstract php
Project titles with abstract php
 
A real time adaptive algorithm for video streaming over multiple wireless acc...
A real time adaptive algorithm for video streaming over multiple wireless acc...A real time adaptive algorithm for video streaming over multiple wireless acc...
A real time adaptive algorithm for video streaming over multiple wireless acc...
 
Embedded System Course and Project
Embedded System Course and ProjectEmbedded System Course and Project
Embedded System Course and Project
 
Raspberry pi course syllabus
Raspberry pi course syllabusRaspberry pi course syllabus
Raspberry pi course syllabus
 
Android course syllabus 2017
Android course syllabus 2017Android course syllabus 2017
Android course syllabus 2017
 
Python course updated syllabus
Python course updated syllabusPython course updated syllabus
Python course updated syllabus
 
Query aware determinization of uncertain objects
Query aware determinization of uncertain objectsQuery aware determinization of uncertain objects
Query aware determinization of uncertain objects
 
User defined privacy grid system for continuous location based services abstract
User defined privacy grid system for continuous location based services abstractUser defined privacy grid system for continuous location based services abstract
User defined privacy grid system for continuous location based services abstract
 
Ieee 2016 cs project topics list mtech
Ieee 2016 cs project topics  list mtechIeee 2016 cs project topics  list mtech
Ieee 2016 cs project topics list mtech
 
Matlab course syllabus
Matlab course syllabusMatlab course syllabus
Matlab course syllabus
 
Java course syllabus 2016
Java course syllabus 2016Java course syllabus 2016
Java course syllabus 2016
 
Android course syllabus 2016
Android course syllabus 2016Android course syllabus 2016
Android course syllabus 2016
 
Internship in php based web designing
Internship in php based web designing Internship in php based web designing
Internship in php based web designing
 
Asp net-certification-course-syllabus
Asp net-certification-course-syllabusAsp net-certification-course-syllabus
Asp net-certification-course-syllabus
 
Ieee power electronics 2014 15
Ieee power electronics 2014 15Ieee power electronics 2014 15
Ieee power electronics 2014 15
 
Latest embedded project abstracts
Latest embedded project abstractsLatest embedded project abstracts
Latest embedded project abstracts
 
Raspberry pi based project abstracts
Raspberry pi based project abstractsRaspberry pi based project abstracts
Raspberry pi based project abstracts
 

Recently uploaded

Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991RKavithamani
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
Concept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfConcept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfUmakantAnnand
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Celine George
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesFatimaKhan178732
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
 

Recently uploaded (20)

Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991
Industrial Policy - 1948, 1956, 1973, 1977, 1980, 1991
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
Concept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.CompdfConcept of Vouching. B.Com(Hons) /B.Compdf
Concept of Vouching. B.Com(Hons) /B.Compdf
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and Actinides
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
 

Vlsi course syllabus verilog language

  • 1. www.softroniics.in An ISO 9001:2008 certified Company SOFTRONIICS Call: 9037291113 CALICUT || PALAKKAD || COIMBATORE 04954021113 0491 6061113 Detailed Syllabus: - VERILOG BASED 1 Introduction to VLSI Design Introduction 2 Combinational Circuit Design Components of Combinational Design - Multiplexer and Decoder Multiplexer Based Design of Combinational Circuits Implementation of Full Adder using Multiplexer Decoder Implementation of Full Adder using Decoder 3 Programmable Logic Devices Types of Programmable Logic Devices Combinational Logic Examples PROM - Fixed AND Array and Programmable OR Array Implementation of Functions using PROM PLA - Programmable Logic Array PLA - Implementation Example 4 Programmable Array Logic PAL - Programmable Array Logic Comparison of PROM, PLA and PAL Implementation of a Function using PAL Types of PAL Outputs
  • 2. www.softroniics.in An ISO 9001:2008 certified Company SOFTRONIICS Call: 9037291113 CALICUT || PALAKKAD || COIMBATORE 04954021113 0491 6061113 Device Examples 5 Verilog Modeling of Combinational Circuits Introduction to Verilog Levels of Abstraction Realization of Combinational Circuits Verilog Code for Multiplexers and Demultiplexers Realization of a Full Adder Behavioral, Data Flow and Structural Realization Realization of a Magnitude Comparator 6 RTL Coding Guidelines RTL Coding Guidelines - Introduction RTL Coding Style Separation of Combinational and Sequential Circuits “if - else if - else” statements for MUX and Priority Encoder Realizations Verilog Directives - Case Statements Operators 7 Coding Organization - Complete Realization Introduction to Coding Organization Design Module - a Model Complete Code for Combinational and Sequential Circuits 8 Coding Organization - Complete Realization (Continued)
  • 3. www.softroniics.in An ISO 9001:2008 certified Company SOFTRONIICS Call: 9037291113 CALICUT || PALAKKAD || COIMBATORE 04954021113 0491 6061113 Complete Code for Sequential Circuits - Right Shift Register - Parallel to Serial Converter - Model State Machine - Pattern Sequence Detector Test Bench for Combinational Circuits 9 Writing a Test Bench Test bench for simple design - AND gate Test bench for Combinational Circuits Test bench for Sequential Circuits 10 Design Flow of VLSI Circuits Top-down Design Methodology Bottom-up Design Methodology Simulation of Verilog Codes using Modelsim Test Bench and Simulation of a Simple Design 11 Simulation of Combinational Circuits 12 Analysis of Waveforms using Modelsim Analysis of Waveforms 13 Analysis of Waveforms using Modelsim (Continued)
  • 4. www.softroniics.in An ISO 9001:2008 certified Company SOFTRONIICS Call: 9037291113 CALICUT || PALAKKAD || COIMBATORE 04954021113 0491 6061113 Analysis of Waveforms of a Model State Machine (Continued) Analysis of Waveforms of a Pattern Sequence Detector 14 ModelSim Simulation Tool ModelSim Command Summary 15 Synthesis Tool More Features of Modelsim Commands Continued - Optimized Verilog File Viewing Verilog Code as RTL Schematic Circuit Diagrams 16 Synopsys Full and Parallel Cases Compilation/Load Errors and Correction using Modelsim and Synplify Tools (Continued) Synopsys Full Case - RTL View Synopsys Parallel Case - RTL View Xilinx Place & Route Tool - Design Manager Xilinx Place & Route Tool - Command Summary Place & Route Tool Report 17 Xilinx Place & Route Tool Xilinx Place & Route Tool Report Creation of “Bit” File Synthesis Revisited - Waveform Analysis of Optimized File Various Report Files of Xilinx Place & Route Tool
  • 5. www.softroniics.in An ISO 9001:2008 certified Company SOFTRONIICS Call: 9037291113 CALICUT || PALAKKAD || COIMBATORE 04954021113 0491 6061113 18 Advanced Features of Xilinx Project Navigator Place and Route and Back Annotation Using Xilinx Project Navigator - Command Summary of Navigator 19. Introduction to FPGA Kit 20. Familiarizing with FPGA Kit