SlideShare a Scribd company logo
1 of 81
UT4 – APRENGUEM UN NOU IDIOMA!
Professor: Raül Solbes i Monzó
1
UT4- Aprenguem un nou idioma!
Sistemes Seqüencials Programables
Automatització i Robòtica Industrial
IES Cotes Baixes
2
OBJECTIUS:
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Conèixer els principis generals de l'electrònica digital
(funcions, sistemes combinacionals i seqüencials,
numeració i codificació de la informació)
Aprendre diversos llenguatges de programació.
PRECONEIXEMENT:
L'alumnat haurà de conèixer les característiques i peculiaritats dels PLC i de
GRAFCET. Així mateix, també haurà de tindre nocions bàsiques respecte dels
dispositius electromecànics i electrònics que envolten un SSP. És a dir,
conceptes estudiants en Uts anteriors.
3
4.1
• Principis bàsics d'electrònica digital.
4.2
• Funcions lògiques fonamentals.
4.3
• Sistemes combinacionals.
4.4
• Sistemes seqüencials. Els biestables.
4.5
• Sistemes de numeració i codificació de la informació.
4.6
• Conceptes bàsics de programació. El mapa de memòria.
4.7
• Llenguatges de programació.
ÍNDEX DE CONTINGUTS:
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4
ELECTRÒNICA DIGITAL. CONCEPTE
L'electrònica digital és una part de l'electrònica que s'encarrega de
sistemes en els quals la informació està codificada de forma
discreta, és a dir, aquella informació que empra senyals digitals. El
més comú és que aquests senyals siguin de dos estats, als quals se'ls
pot cridar "veritable" o "fals", o també “1” i “0”.
L'estat “1” o estat “veritable” significa que existeix senyal. En canvi,
l'estat “0” o estat “fals” significa que no existeix senyal.
La interpretació d'aquest senyal es realitza a través de tensió, de
manera que si el valor de tensió supera un determinat marge, es
considera que és un “1” i si la tensió està per sota d'un nivell, es
considerarà que és un “0”.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
5
SENYALS, VALORS I CLASSIFICACIÓ:
Tipus de senyals:
 Senyals analògics, és a dir, aquells que tenen un rang de variació
contínua des d'un interval definit en el camp dels nombres reals (valors
infinits).
 Senyals digitals, és a dir, aquells en les quals el rang de valors és discret i
definit en intervals del camp dels nombres enters (valors finits).
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials Sistema digital
(valors)
2 valors (binaris)
Més de 2 valors
Sistema digital
(classificació)
Combinacional
Seqüencial
6
AND:
Descripció:
Aquesta funció lògica correspon amb l'operació bàsica PRODUCTE. La funció
només és certa quan tots els seus termes són certs.
Equival a un circuit sèrie.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 0
0 1 0
1 0 0
1 1 1
Símbol:
7
OR:
Descripció:
Aquesta funció lògica correspon amb l'operació bàsica SUMA. La funció és
certa quan un dels seus termes és cert.
Equival a un circuit paral·lel.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 0
0 1 1
1 0 1
1 1 1
Símbol:
8
NOT:
Descripció:
Aquesta funció lògica complementa la informació d'entrada: si aquesta és
certa, l'eixida serà falsa, i viceversa.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a):
a C
0 1
1 0
Símbol:
9
NAND:
Descripció:
Aquesta funció lògica es correspon amb una funció AND complementada, és
a dir, la funció és falsa si totes les seues variables d'entrada són certes.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 1
0 1 1
1 0 1
1 1 0
Símbol:
10
NOR:
Descripció:
Aquesta funció lògica es correspon amb una funció OR complementada, de
manera que la funció és certa si totes les seues variables d'entrada són
falses.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 1
0 1 0
1 0 0
1 1 0
Símbol:
11
XOR:
Descripció:
Aquesta funció coneguda com OR exclusiva o funció XOR té associada una
expressió que és certa si només és certa una de les seues variables.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 0
0 1 1
1 0 1
1 1 0
Símbol:
12
XNOR:
Descripció:
Aquesta funció és la NOR exclusiva o funció coincidència, és a dir, és certa si
totes les variables d’entrada tenen el mateix valor
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Taula de la veritat. C=f(a,b):
a b C
0 0 1
0 1 0
1 0 0
1 1 1
Símbol:
13
GENERALITATS:
Combinant distintes funcions bàsiques, és possible dissenyar el control d'un
sistema automàtic. Ara bé, en la pràctica, i amb la intenció d'optimitzar al
màxim els circuits integrats, és molt comú utilitzar únicament un tipus de
portes lògiques (NAND o NOR).
Per tant, les portes lògiques NAND i NOR es consideren universals, ja que es
pot fer el disseny d'un circuit lògic només utilitzant aquestes portes.
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials. Activitat A-4.1 – Relació NOR - AND
Utilitza portes NOR i dibuixa un circuit electrònic de forma que el resultat siga el
corresponent a una funció AND
14
GENERALITATS:
Mitjançant les funcions lògiques fonamentals és possible representar el
comportament d'un sistema automàtic, utilitzant per a fer açò expressions
matemàtiques.
Exemples:
D = a+b (perquè el sistema “D” s'active, una de les seues dues variables, “a”
o “b”, han d'estar actives).
S = (a+b)·d (perquè el sistema “S” s'active, ha d'estar activa la seua variable
“d”, i una de les variables “a” o “b”).
…
En funció dels operadors lògics, és possible obtenir qualsevol expressió
matemàtica que definisca el comportament d'un sistema automàtic.
No és objecte d'aquesta unitat de treball estudiar amb profunditat aquests
conceptes, encara que sí és important que sàpigues interpretar una
expressió matemàtica, amb la finalitat de poder dibuixar el seu circuit lògic
associat
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
15 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.2 – Teoremes i simplificació de funcions lògiques
(activitat en grups de 4-5)
L’electrònica digital és un camp molt ampli, on és possible aprofundir al respecte de diversos ítems. Per tal de programar PLCs no és
necessari conèixer amb profunditat tots aquets ítems que defineixen l’electrònica digital i l’Àlgebra de Boole, ara bé, a nivell
didàctic, sí resulta interessant saber alguna cosa més del que s’ha desenvolupat fins el moment.
El procés de resolució d’aquesta activitat serà el següent:
1. Busca informació al respecte dels teoremes fonamentals de l’Àlgebra de Boole i de la simplificació de funcions lògiques
(mètode gràfic de Karnaugh).
2. Prepara un presentació per tal d’explicar a la resta de companys de classe els conceptes i l’aplicació pràctica d’aquests
teoremes i mètodes de simplificació.
3. Finalitza l’exposició amb una valoració personal.
Observacions:
• El temps d’exposició estarà comprès entre els 10 i els 15 minuts.
• Durant l’exposició han d’intervindre tots els components del grup.
• Es valorarà molt positivament la creativitat i la utilització d’exemples pràctics i reals.
16 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.3 – Automatització amb portes lògiques
(activitat en parelles)
Utilitzant portes lògiques, realitza l’esquema associat a l’automatització següent:
Es desitja realitzar un automatisme per al control de l'obertura d'una porta automàtica. Els requisits de funcionament són els següents:
• La porta s'obrirà automàticament en detectar la presència d'una persona mitjançant el detector de moviment interior (B1)
o el detector de moviment exterior (B2)
• Una vegada que la persona abandone la zona d'influència dels detectors de moviment i transcorregut un temps d'espera
“t”, la porta ha de tancar-se automàticament.
• La detecció de l'estat de la porta es realitzarà mitjançant 2 finals de carrera, un a cada costat de la porta.
• L'accionament de la porta es realitzarà mitjançant un motor controlat pels contactors KM1 (obrir) i KM2 (tancar).
• Mitjançant el dispositiu de comandament adequat (S1) es pot bloquejar la porta de manera que sempre quede tancada,
encara en presència de persones.
Per a resoldre aquesta activitat és recomanable seguir els següents passos:
1. Identificar les variables que afecten al procés d'automatització.
2. Realitzar la taula de la veritat.
3. Simplificar al màxim l'expressió algebraica.
4. Realitzar l’esquema amb portes lògiques bàsiques (es recomanable utilitzar un programari que incloga la simbologia
d’aquestes portes, com per exemple el programari DIA - http://dia-installer.de/index.html.en)
Es demana:
1. La taula de la veritat
2. El procés de simplificació
3. L’esquema amb portes bàsiques (logigrama)
17
COMBINACIONALS. GENERALITATS. OPERADORS ARITMÈTICS
Els sistemes combinacionals utilitzen funcions lògiques combinades entre si,
amb la finalitat de constituir circuits electrònics en els quals l'eixida depèn,
en tot moment, del valor de les entrades.
Existeixen circuits combinacionals amb funcions prèviament definides, i són
circuits molt utilitzats per al disseny d'aplicacions concretes.
Els més coneguts són els següents:
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
1. Circuits d'operacions aritmètiques. Permeten sumar, restar, multiplicar o
dividir bits.
s
c
a
b
a
b
RESULTADO
ACARREO
Exemple: sumador
Taula de la veritat (sumador)
a b S C
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
18 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.4 – Sistema combinacional – circuit restador
El símbol i la taula de la veritat d’un circuit combinacional restador ¨són els següents:
Utilitza portes lògiques (AND, NOT, EXOR,…) i dibuixa el logigrama associat a este circuit combinacional
Taula de la veritat (restador)
a b D P
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
19
COMBINACIONALS. COMPARADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
2. Comparadors. S’utilitzen per a comparar bits, de manera que activen una
o una altra eixida en funció del resultat de la comparació:
• Igual (Equal – E)
• Menor (Less – L)
• Major (Greater – G)
Exemple: sumador
Taula de la veritat (comparador 2 bits)
a b E L G
0 0 1 0 0
0 1 0 1 0
1 0 0 0 1
1 1 1 0 0
a
b
E
G
L
20 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.5 – Sistema combinacional – comparador de 8 bits
El circuit 74HC85 és un comparador de 4 bits,
l'esquema del quals es mostra en la figura següent:
Es demana, utilitzant circuits 74HC85, implementar un comparador de 2 nombres de 8 bits.
21
COMBINACIONALS. CODIFICADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
3. Codificadors. Permeten codificar en binari el valor de les seues entrades.
Compten amb 2n entrades i n eixides. Per exemple, si el codificador té 3
eixides, tindrà 23 entrades, és a dir, 8 entrades.
Poden ser:
• NO prioritaris, de forma que no poden activar-se simultàniament
diverses entrades, ja que les eixides serien incorrectes.
• Prioritaris, en els quals, si s’activen simultàniament diverses entrades,
les eixides representaran en codi binari corresponent a l'entrada
decimal de major valor.
Exemple: codificador prioritari de 4 entrades i 2 eixides
Taula de la veritat (codificador 4x2)
Entrades Eixides
E0 E1 E2 E3 A B
1 0 0 0 0 0
X 1 0 0 0 1
X X 1 0 1 0
X X X 1 1 1
22
COMBINACIONALS. DECODIFICADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
4. Decodificadors. Són circuits que realitzen la funció inversa al codificador,
és a dir, compten amb n entrades i 2n eixides, de manera que decodifiquen
un nombre binari.
Exemple: decodificador de 2 entrades i 4 eixides
Taula de la veritat (decodificador 2x4)
Entrades Eixides
A B F0 F1 F2 F3
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1
23 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.6 – Sistema combinacional – decodificador BCD – 7 segments
El decodificador més conegut és el decodificador BCD de 7 segments.
Aquest circuit permet, mitjançant un codi BCD en les seues entrades, activar en les seues eixides un display de 7 segments per a
indicar un dígit decimal. Aquest display està format per un conjunt de 7 leds connectats a una punt comú.
El procés és el següent:
1. A cada segment se li assigna una lletra.
2. Cada nombre en decimal activarà unes determinades lletres o segments.
3. S‘utilitza un decodificador 4x16, del que tan sols es fan servir 7 eixides, quedant 8 combinacions com a indiferents (X).
Es demana, la taula de la veritat associada a un decodificador BCD de 7 segments
24 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.6 – Sistema combinacional – decodificador BCD – 7 segments
25
COMBINACIONALS. MULTIPLEXORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
5. Multiplexors. Són circuits amb dos tipus d'entrades: entrades
d'informació i entrades de selecció. En funció del valor de les entrades de
selecció, l'eixida és igual a una de les seues entrades d'informació. Compten
amb N entrades d'informació, n de selecció i una única eixida.
Exemple: multiplexor de 2 entrades d’informació i 1 de selecció
Taula de la veritat (multiplexor 2/1)
S0 E0 E1 A
0 0 0 0 (E0)
0 0 1 0 (E0)
0 1 0 1 (E0)
0 1 1 1 (E0)
1 0 0 0 (E1)
1 0 1 1 (E1)
1 1 0 0 (E1)
1 1 1 1 (E1)
26
COMBINACIONALS. MULTIPLEXORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Una aplicació dels multiplexors, és la de generar funcions lògiques.
El fonament és que, després de la minimització, una funció lògica de n
variables no pot contenir més de 2n-1 termes irreductibles.
És a dir, per a una funció lògica de 4 variables es necessitarà un multiplexor
amb 24-1 entrades informació, de manera que 3 variables seran les entrades
de selecció, i la quarta variable serà la d'informació.
Exemple: representació amb multiplexor de la funció:
F = ∑4 (0,3,7,10,11,13,15)
• Variables: 4
• Entrades del multiplexor = 24-1 = 8
27
COMBINACIONALS. DEMULTIPLEXORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
6. Demultiplexors. Són circuits que realitzen la funció inversa als
multiplexors, és a dir, en funció de l'entrada de selecció, transfereixen el
valor de la seua única entrada d'informació, a una de les seues eixides.
Per tant, es tracta d’un circuit combinacional que rep informació en una sola
línia i la transmet a una de 2n línies possibles d'eixida. La selecció d'una línia
d'eixida es controla per mitjà dels valors dels bits de n línies de selecció.
Exemple: demultiplexor d'1 entrada d'informació, 2 entrades de selecció i 4
eixides.
Taula de la veritat (decodificador 2x4)
Entrades
selecció
Eixides
ES0 ES1 S0 S1 S2 S3
0 0 E1 0 0 0
0 1 0 E1 0 0
1 0 0 0 E1 0
1 1 0 0 0 E1
28
SEQÜENCIALS. GENERALITATS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
A diferència dels sistemes combinacionals, en un sistema seqüencial les
eixides depenen del valor actual de les entrades i del valor que tenien en
l'estat anterior, és a dir, posseeixen memòria.
Bàsicament un sistema seqüencial es constitueix d'una part purament
combinacional i una part dedicada a la memorització.
Els elements bàsics de memòria posseeixen dos estats estables, per aquest
motiu se'ls coneix com biestables, encara que també se'ls crida comunment
bàscules o flip-flops.
El dispar d’un biestable s'aconsegueix a partir d’un determinat nivell de
tensió a l'entrada, de manera que el canvi d'estat s'aconsegueix per:
1. Un flanc de transició positiva (transició de 0 a 1).
2. Un flanc de transició negativa (transició d'1 a 0).
D'altra banda, el dispar pot ser:
• En variar les seues entrades (Biestable Asíncron).
• En variar les seues entrades i un senyal d'un rellotge, (Biestable
Síncron).
Els biestables es constitueixen a través de portes NOR o portes NAND, de
manera que en funció del tipus de funció que s‘utilitze (NAND o NOR), el
canvi es produeix en un flanc ascendent o en un flanc descendent.
29
SEQÜENCIALS. BIESTABLES R-S
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
El biestable R-S, és el biestable més senzill i constitueix la base a partir de la
qual es formen els altres biestables.
Presenta l'inconvenient de tenir un estat d'indeterminació.
Tipus de biestables R-S:
• R-S asíncron amb portes NOR,
• R-S asíncron amb portes NAND,
• R-S síncron amb portes NOR,
• R-S síncron amb portes NAND,
• R-S Mestre-Esclau.
Exemple: biestable R-S asíncron amb portes NOR (impuls ascendent)
Entrades Eixida Q
R S Q
0 0 QN-1
0 1 0
1 0 1
1 1 ¿?
Biestable R-S amb portes NOR: S=R=1 - indeterminació
Biestable R-S amb portes NAND: S=R=0 - indeterminació
30
SEQÜENCIALS. BIESTABLES R-S
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Biestable R-S asíncron amb portes NAND (impuls descendent)
Biestable R-S asíncron amb portes NOR (impuls ascendent)
Entrades Eixida Q
R S Q
0 0 ¿?
0 1 0
1 0 1
1 1 QN-1
31
SEQÜENCIALS. BIESTABLES R-S
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Biestable R-S Mestre -Esclau
Mestre Esclau
32
SEQÜENCIALS. BIESTABLES D
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Es tracta d'un dispositiu utilitzat per a retardar la transferència del senyal
aplicat a la seua entrada (D) fins a l'eixida.
És a dir, el valor de l'entrada passa a l'eixida en arribar el senyal de rellotge.
El biestable D s'obté de modificar les connexions d'un biestable R-S síncron.
Amb aquest biestable s'evita el problema d'indeterminació que tenen els
biestables R-S, doncs no es permet que R i S tinguen el mateix valor.
Exemple: biestable D
(amb portes NOR)
Entrades Eixida Q
R S Q
0 0 1
0 1 0
1 0 1
1 1 0
33
SEQÜENCIALS. BIESTABLES J-K
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Els biestables J-K sorgeixen com a necessitat per a resoldre el problema
d'indeterminació dels biestables R-S. Aquests biestables són similars a un
biestable R-S tipus Mestre-Esclau amb una xicoteta modificació, la qual
permet eliminar l'estat d'indeterminació. En lloc d'un estat
d'indeterminació, s'obté la inversió de l'estat anterior. D'aquesta forma
s'aconsegueixen quatre solucions diferents amb cadascuna de les quatre
possibles combinacions de les seues entrades.
Circuit integrat SN 7476 N (biestable J-K)
34 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.7 – Sistema seqüencial – biestable J-K amb portes NAND
(activitat en parelles)
L’esquema següent representa la composició interna d’un biestable J-K amb portes NAND. Analitza el seu funcionament i completa
la taula de la veritat associada
Entrades Eixida Q
J K Qn+1
0 0
0 1
1 0
1 1
35
SEQÜENCIALS. BIESTABLES T
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Pot considerar-se una versió simplificada del J-K, doncs bascula en tots els
impulsos de rellotge. Segons s‘utilitzen portes NAND o NOR, bascula en el
flanc ascendent o bé en el descendent.
Biestable T
36
SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Els registres de desplaçament són una unió en sèrie d'un nombre
determinat de cèl·lules de memòria (biestables), bé siguen del tipus R-S o
del tipus J-K.
Tenint en compte que cada biestable pot emmagatzemar la informació d'1
bit, un registre de desplaçament, emmagatzema la informació corresponent
a tants bits com biestables el formen.
Per exemple, si un registre de desplaçament està constituït per 8 bits, serà
capaç d'emmagatzemar fins a 8 bits.
Els biestables estan connectats entre si, de manera que l'eixida de cadascun
d'ells va directament unida a l'entrada del següent. Les entrades de rellotge
s'uneixen en paral·lel, de manera que un pols de rellotge implica un
desplaçament del valor del biestable anterior al següent.
Segons la disposició de les entrades i eixides d'informació, els registres de
desplaçament es poden classificar com:
• Entrada sèrie i eixida sèrie.
• Entrada sèrie i eixida paral·lel.
• Entrada paral·lel i eixida sèrie.
• Entrada paral·lel i eixida paral·lel.
37
SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: registre de desplaçament de 3 bits
Entrada sèrie - eixida sèrie
38 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.8 – Sistema seqüencial – registres de desplaçament
A partir del registre de desplaçament de 3 bits “Entrada sèrie i eixida sèrie”, implementa un registre de desplaçament de “Entrada
sèrie i eixida paral·lel”.
39
SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: registre de desplaçament de 3 bits
Entrada paral·lel - eixida sèrie
40
SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: registre de desplaçament de 3 bits
Entrada paral·lel - eixida paral·lel
41
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Els comptadors són circuits electrònics basats en la interconnexió de
diferents biestables.
Es diferencien dels registres de desplaçament perquè aporten la informació
d'una forma determinada, doncs s‘utilitzen per a comptar el nombre
d'impulsos aplicats a les seues entrades.
Les seues aplicacions són molt diverses: còmput, seqüenciadors
d'operacions, divisió de freqüències, manipulació aritmètica, mesurament
de temps, etc.
Utilitzen biestables J-K, R-S, D i T, i es classifiquen en dos grans grups:
1. Comptadors asíncrons o comptadors sèrie, en els quals el canvi d'un
biestable activa al següent de la cadena.
2. Comptadors síncrons o comptadors paral·lel, en els quals tots els
biestables canvien d'estat simultàniament.
També és possible trobar comptadors ascendents i descendents.
42
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Diagrama:
Cronograma:
Comptador asíncron binari: 1,2,4,8
43
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Funcionament:
• Cada impuls de rellotge provocarà, en el seu flanc descendent, un
canvi en l'eixida de comptatge 1.
• Cada canvi en l'eixida de comptatge 1, en el seu flanc descendent,
provocarà un canvi en l'eixida de comptatge 2.
• I així successivament, fins a arribar a l'eixida de comptatge 8, de
manera que:
• Eixida 1 → canvia d'estat en cada impuls de rellotge.
• Eixida 2 → canvia d'estat per cada 2 impulsos de rellotge.
• Eixida 4 → canvia d'estat per cada 4 impulsos de rellotge.
• Eixida 8 → canvia d'estat per cada 8 impulsos de rellotge.
Si en lloc d'unir les eixides Q, s'uneixen les Q’, el comptador produeix un
comptatge descendent.
Comptador asíncron binari: 1,2,4,8
44 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.9 – Sistema seqüencial – comptadors
Què representa el circuit següent?
45
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• Velocitat de comptatge→ paràmetre molt important d'un comptador.
• El principal inconvenient dels comptadors asíncrons → Velocitat de
còmput.
Exemple 1:
• Suposa un comptador asíncron de 4 biestables, on cada biestable té un
retard de propagació de 25ns.
• El retard total del comptador asíncron serà de 4x25ns = 100ns
• 100ns → 10MHz (f=1/t)
• Si es produeix una entrada durant aquests 100ns el *comptatge serà
incorrecte.
Comptadors asíncrons i comptadors síncrons
46
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• S’utilitzen biestables J-K com els comptadors asíncrons.
• Són activats per un senyal comú de manera que canvien d'estat
simultàniament.
• Cada biestable canviarà d'estat amb l'arribada d'un senyal de rellotge,
només quan tots els biestables anteriors proporcionen un nivell 1 en
les seues eixides Q.
• En rebre l'impuls de rellotge simultàniament a tots els biestables, el
retard total (independentment del nombre de biestables) és igual al
d'una etapa.
Exemple 2:
Recordant l'exemple anterior (exemple 1). El temps de retard de cada
biestable era de 25ns, i el total era de 100ns
En el cas del biestable síncron, el retard total serà de 25ns + 10ns (porta
AND) = 35ns (28,5MHz)
Comptadors síncrons
47
SEQÜENCIALS. COMPTADORS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Esquema típic d’un comptadors síncrons
48
SISTEMES DE NUMERACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Els sistemes de numeració són utilitzats per a explicar i representar objectes
a través de números
Usualment s‘utilitzen sistemes ponderats basats en tres conceptes:
1. La base, representada mitjançant un nombre sencer qualsevol.
2. Els dígits, que són els caràcters mitjançant els quals es representen els
nombres.
3. El pes, que representa la posició relativa d'un dígit respecte d‘un
conjunt de nombres.
49
SISTEMES DE NUMERACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
1. El sistema decimal:
En aquest sistema, la base és 10, i compta amb 10 dígits (0, 1, 2, 3, 4, 5, 6, 7,
8, 9)
Exemple de descomposició d'un nombre decimal:
2053 = 2x103 + 0x102 + 5x101 + 3x100= 2x1000 + 0x100 + 5x10 + 3x1 = 2053
2. El sistema binari:
En aquest sistema, la base és 2 i tan sols compta amb 2 dígits (0, 1)
Exemple de descomposició d'un nombre binari:
11011 = 1x24 + 1x23 + 0x22 + 1x21 + 1x20 = 1x16 + 1x8 + 0x4 + 1x2 + 1x1 =
27
És a dir, el nombre binari 11011 és equivalent al nombre digital 27
50
SISTEMES DE NUMERACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
3. El sistema octal:
En aquest sistema, la base és 8 , i els seus dígits també són 8 (0, 1, 2, 3, 4, 5,
6, 7)
Exemple de descomposició d'un nombre octal:
551 = 5x82 + 5x81 + 1x80 = 5x64 + 5x8 + 1x1 = 361
És a dir, el nombre octal 551 és equivalent al nombre digital 361.
4. El sistema hexadecimal:
En aquest sistema, la base és 16 , i els seus dígits també són 16 (0, 1, 2, 3, 4,
5, 6, 7, 8, 9, A, B, C, D, I, F)
Exemple de descomposició d'un nombre hexadecimal:
F5D3 = Fx163 + 5x162 + Dx161 + 3x160 = 15x4096 + 5x256 + 13x16 + 3x1 =
62931
És a dir, el nombre hexadecimal F5D3 és equivalent al nombre digital 62931.
51
SISTEMES DE NUMERACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
52
SISTEMES DE NUMERACIÓ. CONVERSIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Conversió d'octal a binari i de binari a octal:
La base del sistema octal (8) és la tercera potència de la base del sistema
binari (2). En aquest sentit, per a realitzar la conversió tan sols es requereix
fer grups de tres en tres de forma independent:
• Exemple de conversió d'octal a binari: (615)8 = (110)(001)(101) =
(110001101)2
• Exemple de conversió de binari a octal: (10101110)2 = (010)(101)(110)
= (256)8
Conversió d'hexadecimal a binari i de binari a hexadecimal:
La base del sistema hexadecimal (16) és la quarta potència de la base del
sistema binari (2). En aquest sentit, per a realitzar la conversió tan sols es
requereix fer grups de quatre en quatre de forma independent:
• Exemple de conversió d'hexadecimal a binari: (74B)16 =
(0111)(0100)(1011) = (11101001011)2
• Exemple de conversió de binari a hexadecimal: (101101001011)2 =
(1011)(0100)(1011) = (B4B)16
53
SISTEMES DE NUMERACIÓ. CONVERSIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Conversió de binari, octal o hexadecimal a decimal:
Es tracta de multiplicar el dígit corresponent, per la potència del sistema de
codificació elevada a la posició que ocupa.
• Exemple de conversió de binari a decimal:
110112 = 1x24 + 1x23 + 0x22 + 1x21 + 1x20 = 1x16 + 1x8 + 0x4 + 1x2 + 1x1 = 2710
• Exemple de conversió d'octal a decimal:
5518 = 5x82 + 5x81 + 1x80 = 5x64 + 5x8 + 1x1 = 36110
• Exemple de conversió d'hexadecimal a decimal:
F5D316 = Fx163 + 5x162 + Dx161 + 3x160 = 15x4096 + 5x256 + 13x16 + 3x1 =
6293110
54
SISTEMES DE NUMERACIÓ. CONVERSIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Conversió de decimal a binari, octal o hexadecimal.
Conversió d ela part sencera:
En aquest cas, es tracta de dividir el nombre digital entre la base del sistema
al què es pretén convertir, fins que el resultat de la divisió ja no siga divisible
per la base del nou sistema.
El resultat de la conversió correspondrà a la resta col·locada en sentit invers
Exemple de conversió de decimal a binari: 45910 = 1110010112
55
SISTEMES DE NUMERACIÓ. CONVERSIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Conversió de decimal a binari, octal o hexadecimal.
Conversió d ela part fraccionaria:
El mètode pràctic consisteix a realitzar multiplicacions successives: la part
fraccionària del nombre decimal es multiplica per la base del nou sistema de
numeració, del producte obtingut se separa la part sencera, que serà la
primera xifra fraccionària del nombre en el nou sistema, i la part fraccionària
es multiplica novament per la nova base; del nou producte obtingut se
separa la part sencera, que serà la segona xifra fraccionària del nombre en el
nou sistema; la part fraccionària es multiplica una altra vegada per la nova
base, …. L'operació es repeteix les vegades necessàries fins a aconseguir
l'aproximació desitjada o fins que la part fraccionària s'anul·le.
Els “acarreos” obtinguts ordenats en sentit descendent seran la part
fraccionària del nombre decimal de partida en el nou sistema de numeració.
(0,6875) 10 a binari Resultat “Acarreo”
0,6875x2 1,375 1
0,375x2 0,75 0
0,75x2 1,5 1
0,5x2 1 1
56 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.10 – Sistemes de numeració. Conversions
Realitza les conversions següents:
1. De binari a decimal: (10101,1101) 2
2. D’octal a decimal: (257,312) 8
3. D’hexadecimal a decimal: (A4,3B) 16
4. De decimal a octal: (2819,735) 10
5. De decimal a hexadecimal: (15846,236801) 10
57
SISTEMES DE CODIFICACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Un codi és un sistema de signes i regles que estableix la relació entre un
missatge i la seva representació.
És possible codificar lletres, números i símbols, de manera que existeixen
sistemes de codificació numèrics i alfanumèrics.
Els sistemes de codificació més utilitzats en els sistemes automàtics, són els
següents:
1. Codi Binari natural.
El nombre es representa directament en binari.
Exemple: 1210 = 11002
2. Codi GRAY.
És molt similar a l'anterior, però el codi GRAY sorgeix amb la finalitat
d'obtenir un sistema de codificació més segur. En aquest codi tan sols es
produeix un canvi de dígit d'un nombre a un altre.
58
SISTEMES DE CODIFICACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
3. Codi BCD natural.
Aquest sistema codifica cada xifra de forma independent, de manera que
utilitza quatre dígits binaris per a cada dígit decimal.
Exemple: 12910 = (0001) (0010) (1001) = 1001010012
4. Codi ASCII.
Aquest codi va permetre l'estandardització de la comunicació entre els
perifèrics dels ordinadors. És un codi que utilitza un total de 8 bits (7
d'informació i 1 per a la detecció d'errors).
Descripció:
El primer dígit dels 8 bits es reserva per al bit de paritat, i la resta, en funció
de la combinació, tenen un significat o un altre.
Exemples:
11000000, indica @
01110100, indica “t”
59
SISTEMES DE CODIFICACIÓ
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Codi ASCII
60 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.11 – Sistemes de codificació
Realitza les codificacions següents:
1. De BCD a decimal: (0110100101111000)BCD
2. De decimal a BCD: (1974)10
3. De binari a codi GRAY: (10110)2
61 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Activitat A-4.12 – Sistemes de codificació i comptadors
(activitat en parelles)
El comptador BCD efectua el comptatge en codi BCD, expressant els 10 nombres decimals mitjançant 4 bits, continguts en 4
biestables. El comptatge de 0 al 9 és el normal i l'equivalent al que efectuen els comptadors binaris. Però a continuació de l'estat
1001 (9), passa de nou al començament, és a dir, 0000.
Dibuixa el logigrama corresponent a un comptador BCD
Pistes: utilitza 4 biestables J-K, 2 portes AND de 2 entrades I 1 porta AND de 3 entrades.
62
LA MEMÒRIA DELS PLCs
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Introducció
La memòria és la part de la CPU que permet guardar informació en forma de
bits, els quals poden ser llegits a nivell de posició (bit a bit) o per blocs (més
d'un bit).
La memòria de la CPU depèn de cada fabricant i de cada model de CPU, per
la qual cosa s'ha de tenir en compte a l'hora d'escollir entre un o un altre
PLC. És ací on apareix en concepte de mapa de memòria, que no és una altra
cosa que la memòria interna de l'autòmat programable.
Reflexiona
Si es realitza un símil entre un PLC i una biblioteca convencional, podria
afirmar-se que el mapa de memòria correspon amb les prestatgeries de la
pròpia biblioteca. Ara bé, en lloc de guardar lliures, el PLC guarda dades
digitals (uns i zeros).
A què una biblioteca té prestatgeries per a situar llibres segons diferents
temàtiques? Totes les prestatgeries es classifiquen de la mateixa forma?
Totes les biblioteques tens els mateixos llibres?
Doncs els mateix ocorre amb els autòmats programables.
63
MAPA DE MEMÒRIA
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple d’un mapa de memòria
64
MAPA DE MEMÒRIA
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Generalitats
Quan es diu que un canal concret d'un PLC està destinat a entrades, significa
que pot gestionar fins a 16 entrades (recorda que un canal equival a una
paraula i una paraula són 16 bits). En aquest cas, per a identificar cadascuna
d'aquestes entrades hauràs d'especificar en bit concret.
Per exemple:
• 1.01 – Entrada 1 del canal 1 (bit 1 del canal 1).
• 1.02 – Entrada 2 del canal 1 (bit 2 del canal 2).
• ...
Malgrat que els mapes de memòria cada vegada són més semblats entre si,
cada fabricant té les seues peculiaritats. En aquest sentit, el primer que has
de fer abans de programar un PLC, serà esbrinar el seu mapa de memòria.
En el mercat pots trobar de tot, així, per exemple:
• Certs fabricants reserven posicions de memòria compartides per a
temporitzadors i comptadors, uns altres empren posicions
independents.
• Alguns fabricants identifiquen les àrees de memòria per a treballs a
nivell de paraula amb la lletra M, uns altres la identifiquen com a D.
• ...
65
UNE-EN 61131
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Introducció
Amb l'evolució i desenvolupament del maquinari dels PLC, s'ha produït una
evolució paral·lela dels seus sistemes de programació.
Els primers llenguatges desenvolupats van ser el llistat d'instruccions i el
llenguatge de contactes, i posteriorment van aparèixer altres llenguatges de
programació com: el text estructurat, el diagrama de contactes o el gràfic
seqüencial de funcions.
La norma UNE-EN 61131 intenta estandarditzar el sistema de programació
de tots els autòmats, mitjançant un únic estàndard internacional.
Els apartats de la norma UNE-EN 61131 són els següents:
• Part 1. Informació general.
• Part 2. Especificacions i assajos dels equips.
• Part 3. Llenguatges de programació.
• Part 4. Guies d'usuari.
• Part 5. Comunicacions.
• Part 6. Comunicacions via busos de camp.
• Part 7. Programació del control “Fuzzy” o borrós (lògica borrosa).
• Parteix 8. Guies per a l'aplicació i implementació de llenguatges per a
autòmats programables.
PLC Open és un grup de treball que està desenvolupant i actualitzant
contínuament la norma 61131 (http://www.plcopen.org/)
66
UNE-EN 61131. Part 3
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Llista d'instruccions (IL o AWL):
Llenguatge de baix nivell, similar al llenguatge ensamblador. Només es
permet programar una operació per línia. Aquest llenguatge és adequat
per optimitzar parts d'una aplicació.
AND a
AND b
= c
Text estructurat (Structured text o ST):
Llenguatge d'alt nivell que té una sintaxi semblant al Pascal. El ST pot ser
utilitzat per a realitzar ràpidament sentències complexes que manegen
variables amb un ampli rang de diferents tipus de dades, incloent valors
analògics i digitals.
C:= a AND b
El diagrama de funcions (function block diagram o FBD o FUP):
Llenguatge gràfic que permet programar elements que apareixen com a
blocs per a ser cablejats entre si de forma anàloga a l'esquema d'un
circuit.
El diagrama de contactes (contact block diagram o LD o KOP):
Llenguatge que imita el funcionament dels circuits basats en relés
elèctrics. És el més utilitzat per la majoria de programadors elèctrics, i
tots els fabricants l'integren en el seu programari.
GRAFCET (SFC):
Gràfic Funcional de Control d’Etapes i Transicions. Llenguatge
organitzatiu que facilita l’estructuració i descomposició d’un problema de
control, en parts de menor complexitat.
67
UNE-EN 61131
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Observacions
• La norma IEC 61131 deixa certa llibertat d’interpretació en alguns del seus
apartats, cosa que permet als fabricants implementacions parcials, i per
tant, cert grau de diferenciació entre ells.
• Com qualsevol estandardització, la norma IEC 61131 suposa beneficis:
a. Combinació d’elements entre fabricants diferents (increment de la
connectivitat).
b. Determinació d’una estructura general que limita la diferenciació entre
fabricants, i per tant, facilita al programador la utilització de diversos
entorns de programació.
c. Especificació de tècniques de programació que poden ser utilitzades
en diferents sectors.
d. Reducció de costos de formació, consultoria, manteniment,...
e. ...
68
DIAGRAMA DE CONTACTES
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• Es tracta d'un llenguatge gràfic, derivat del llenguatge dels esquemes de
maniobra utilitzat en lògica cablejada. Mitjançant símbols es representen els
contactes d'entrada, les bobines d'eixida i els elements de control del
programa (temporitzadors, comptadors, comparadors,...).
• El seu principal avantatge és que els símbols bàsics estan normalitzats
segons la norma UNE-EN 61131 i són utilitzats per tots els fabricants.
• Els símbols bàsics són els que s'indiquen en la següent figura:
69
DIAGRAMA DE CONTACTES
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
70
DIAGRAMA DE FUNCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• El diagrama de funcions també és un llenguatge gràfic, en el qual s‘utilitzen
portes lògiques relacionades entre si amb la finalitat de construir un circuit
lògic, és a dir, un programa.
• Es tracta d'un llenguatge molt gràfic, però poc eficient, quan el programa és
gran.
• Els símbols bàsics són els corresponents a les representacions de les portes
lògiques AND, OR i NOT.
71
DIAGRAMA DE FUNCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
72
LLISTA D’INSTRUCCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• El llenguatge de llista d'instruccions es pot considerar com una herència de
la programació amb llenguatge assemblador. Permet major control de la
màquina i del seu entorn, però el programador queda més centrat en el
programa que en el propi sistema automàtic.
• Aquest llenguatge, al ser textual, substitueix els símbols bàsics, per
instruccions bàsiques. Ara bé, cada fabricant utilitza una identificació
d'instruccions parcialment diferent entre si, per la qual cosa es requereix
consultar les indicacions del PLC a programar.
• Les instruccions més comunes són les següents:
73
LLISTA D’INSTRUCCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
74
LLISTA D’INSTRUCCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
75
TEXT EXTRUCTURAT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• El text estructurat és un llenguatge d'alt nivell similar al llenguatge Pascal.
• Aquest llenguatge permet una programació estructurada, de manera que és
possible subdividir tasques complexes en unitats més xicotetes i fàcils de
tractar.
• Aquest llenguatge permet utilitzar instruccions bàsiques (AND, OR,...),
estructures condicionals (IF, WHILE, FOR, REPEAT,...), salts de programa
(JUMP, RETURN,...),...
• El text estructurat és apropiat per a aplicacions on existeix manipulació de
dades, ordenament computacional i aplicacions matemàtiques que utilitzen
valors de coma flotant. Els programadors més experimentats consideren
aquest llenguatge com el millor per a la implementació d'aplicacions
d'intel·ligència artificial, lògica borrosa, presa de decisions, etc.
76
TEXT EXTRUCTURAT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
77
TEXT EXTRUCTURAT
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
78
GRÀFIC SEQÜENCIAL DE FUNCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• És un llenguatge gràfic que proveeix una representació en forma de
diagrama, de les seqüències de control en un determinat sistema automàtic.
Té el seu origen en l'estàndard francès GRAFCET, el qual ja vas estudiar en
unitats de treball anteriors.
• Per tant, els elements bàsics d'aquest llenguatge són:
1. Les etapes.
2. Les accions.
3. Les transicions.
79
GRÀFIC SEQÜENCIAL DE FUNCIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
Exemple: arrancada estrela triangle
80
REFLEXIONS
Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
4.1 Principis bàsics
d’electrònica digital
4.2 Funcions lògiques
fonamentals
4.5 Sistemes de
numeració i
codificació
4.6 Programació.
Mapa de memòria
4.7 Llenguatges de
programació
4.3 Sistemes
combinacionals
4.4 Sistemes
seqüencials
• A causa de la seua similitud amb la lògica elèctrica convencional, el llenguatge de diagrama de
contactes (LD) és el més UTILITZAT pels programadors de PLC. Tots els fabricants de PLC permeten la
programació mitjançant aquest llenguatge, i un gran percentatge dels tècnics que ara mateix estan
programat PLC han après a programar amb aquest llenguatge, fins i tot ho continuen utilitzant sense
cap problema.
• El llenguatge basat en diagrama de funcions (FBD) és utilitzat per tècnics amb una marcada ideologia
electrònica, però encara que és un llenguatge bastant clar i visual, resulta poc eficient si la seqüència
de control és complexa, per la qual cosa en la pràctica, resulta un llenguatge poc utilitzat.
• El llenguatge de llista d'instruccions (IL) va ser molt utilitzat quan la programació es realitzava a través
de consoles de programació. Actualment, encara que existeixen programadors que ho utilitzen, està
caient en desús, doncs cada fabricant té les seues pròpies peculiaritats i en la pràctica resulta laboriós
aprendre-les totes.
• El llenguatge de text estructurat (ST), és l'opció més eficient a l'hora de realitzar programes
complexos, doncs permet optimitzar el programa. Per als programadors més avançats, el llenguatge
de text estructurat, és la primera alternativa de programació, encara que el seu principal inconvenient
és la necessitat de conèixer un llenguatge que, en principi, resulta més complex que el LD, el FBD o el
SFC.
• El llenguatge gràfic seqüencial de funcions (SFC), és molt útil per a operacions de control seqüencials,
on un programa flueix d'un punt a un altre una vegada que una condició ha sigut satisfeta. Actualment
és un llenguatge molt utilitzat, doncs és molt visual, i facilita notablement la localització d'errors de
programa. El seu principal inconvenient és que la programació no és totalment directa, doncs les
seues condicions de transició i accions han de programar-se mitjançant un altre llenguatge.
81 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
Treball T-4.1 – SSP i llenguatges de programació
(treball en grups de 4-5 persones)
Introducció:
En aquest treball tindràs que combinar diversos dels continguts estudiats fins el moment.
Es tracta de plantejar un SSP senzill i desenvolupar-lo per complet, és a dir,: descripció i diagrama, esquemes elèctrics, pressupost,
planificació, programa de PLC,...
El procés de treball a seguir és el següent:
1. Localitza o planteja tu mateix un SSP senzill, és a dir, un SSP on únicament es relacionen entrades amb eixides sense cap
tipus de funció específica (sense temporitzadors, comptadors,...).
2. Descriu-lo i realitza un diagrama gràfic en cas de considerar-ho necessari.
3. Utilitza el SeeElectrical i realitza l’esquema de potència i l’esquema de connexió al PLC.
4. Desenvolupa, mitjançant l’OpenProj, la planificació del muntatge i de la programació
5. Elabora la valoració econòmica (pressupost) incloent tots els recursos necessaris (materials i humans).
6. Dissenya el programa de control de PLC, però utilitza tots els llenguatges de programació estudiats en aquesta UT, és a dir,
cal que realitzes 5 programes (diagrama de contactes, diagrama de funcions, llista de funcions, text estructurat, i gràfic
seqüencial de funcions).
7. Preparar una presentació i explica a la resta de companys de classe el treball realitzat (30 minuts de temps màxim
d’exposició).
Caldrà entregar a través de la plataforma Edmodo:
• La memòria del treball (descripció, esquemes, pressupost, planificació, programes,...)
• La presentació utilitzada en la teua exposició de classe.

More Related Content

What's hot

Ud5 Aplicacions Amb Circuits Programats
Ud5 Aplicacions Amb Circuits ProgramatsUd5 Aplicacions Amb Circuits Programats
Ud5 Aplicacions Amb Circuits ProgramatsCursfete
 
U D4 Aplicacions Amb Relés
U D4 Aplicacions Amb RelésU D4 Aplicacions Amb Relés
U D4 Aplicacions Amb Relésmacapo
 
Tema 6 Lògica Programable
Tema 6   Lògica ProgramableTema 6   Lògica Programable
Tema 6 Lògica ProgramableJoaquim Salvi
 
Introducció A L’Automatització
Introducció A L’AutomatitzacióIntroducció A L’Automatització
Introducció A L’AutomatitzacióJordi Mercader
 
Tema 5 Sistemes Seqüencials
Tema 5   Sistemes SeqüencialsTema 5   Sistemes Seqüencials
Tema 5 Sistemes SeqüencialsJoaquim Salvi
 

What's hot (7)

Ud5 Aplicacions Amb Circuits Programats
Ud5 Aplicacions Amb Circuits ProgramatsUd5 Aplicacions Amb Circuits Programats
Ud5 Aplicacions Amb Circuits Programats
 
U D4 Aplicacions Amb Relés
U D4 Aplicacions Amb RelésU D4 Aplicacions Amb Relés
U D4 Aplicacions Amb Relés
 
Tema 6 Lògica Programable
Tema 6   Lògica ProgramableTema 6   Lògica Programable
Tema 6 Lògica Programable
 
Sistema de control
Sistema de controlSistema de control
Sistema de control
 
Introducció A L’Automatització
Introducció A L’AutomatitzacióIntroducció A L’Automatització
Introducció A L’Automatització
 
Tema 5 Sistemes Seqüencials
Tema 5   Sistemes SeqüencialsTema 5   Sistemes Seqüencials
Tema 5 Sistemes Seqüencials
 
Ppt nº4
Ppt nº4Ppt nº4
Ppt nº4
 

More from Raül Solbes i Monzó

Curs projecte roma_presentacio_sessio_presencial
Curs projecte roma_presentacio_sessio_presencialCurs projecte roma_presentacio_sessio_presencial
Curs projecte roma_presentacio_sessio_presencialRaül Solbes i Monzó
 
Pd sistemes sequencialsprogramables_v1.0_2015.odt
Pd sistemes sequencialsprogramables_v1.0_2015.odtPd sistemes sequencialsprogramables_v1.0_2015.odt
Pd sistemes sequencialsprogramables_v1.0_2015.odtRaül Solbes i Monzó
 
Fddcd b02 documents_planificacio_v1_2015
Fddcd b02 documents_planificacio_v1_2015Fddcd b02 documents_planificacio_v1_2015
Fddcd b02 documents_planificacio_v1_2015Raül Solbes i Monzó
 
ProgramacionDidacticaAutomatismosIndusatriales_1617
ProgramacionDidacticaAutomatismosIndusatriales_1617ProgramacionDidacticaAutomatismosIndusatriales_1617
ProgramacionDidacticaAutomatismosIndusatriales_1617Raül Solbes i Monzó
 
Pd sistemes sequencialsprogramables_1415
Pd sistemes sequencialsprogramables_1415Pd sistemes sequencialsprogramables_1415
Pd sistemes sequencialsprogramables_1415Raül Solbes i Monzó
 
Programacions didacticasfp extractolibrov1.0_naullibres
Programacions didacticasfp extractolibrov1.0_naullibresProgramacions didacticasfp extractolibrov1.0_naullibres
Programacions didacticasfp extractolibrov1.0_naullibresRaül Solbes i Monzó
 
02 cpea titol_curriculum_loe_artistic
02 cpea titol_curriculum_loe_artistic02 cpea titol_curriculum_loe_artistic
02 cpea titol_curriculum_loe_artisticRaül Solbes i Monzó
 
01 cpea referenteuropeu_lleiorganica_artistic
01 cpea referenteuropeu_lleiorganica_artistic01 cpea referenteuropeu_lleiorganica_artistic
01 cpea referenteuropeu_lleiorganica_artisticRaül Solbes i Monzó
 
Ai conceptes procediments_v1.1_extractellibre
Ai conceptes procediments_v1.1_extractellibreAi conceptes procediments_v1.1_extractellibre
Ai conceptes procediments_v1.1_extractellibreRaül Solbes i Monzó
 
Ai conceptos procedimientos_v1.0_extractolibro
Ai conceptos procedimientos_v1.0_extractolibroAi conceptos procedimientos_v1.0_extractolibro
Ai conceptos procedimientos_v1.0_extractolibroRaül Solbes i Monzó
 
Ai presentacion libro_castellano_270813
Ai presentacion libro_castellano_270813Ai presentacion libro_castellano_270813
Ai presentacion libro_castellano_270813Raül Solbes i Monzó
 

More from Raül Solbes i Monzó (20)

Equipos muscova
Equipos muscovaEquipos muscova
Equipos muscova
 
Curs projecte roma_presentacio_sessio_presencial
Curs projecte roma_presentacio_sessio_presencialCurs projecte roma_presentacio_sessio_presencial
Curs projecte roma_presentacio_sessio_presencial
 
Pd robotica industrial_v1.1_2015v
Pd robotica industrial_v1.1_2015vPd robotica industrial_v1.1_2015v
Pd robotica industrial_v1.1_2015v
 
Pd maquines electriques_v1.0_2016
Pd maquines electriques_v1.0_2016Pd maquines electriques_v1.0_2016
Pd maquines electriques_v1.0_2016
 
Pd sistemes sequencialsprogramables_v1.0_2015.odt
Pd sistemes sequencialsprogramables_v1.0_2015.odtPd sistemes sequencialsprogramables_v1.0_2015.odt
Pd sistemes sequencialsprogramables_v1.0_2015.odt
 
Fddcd b03 direccio_equips
Fddcd b03 direccio_equipsFddcd b03 direccio_equips
Fddcd b03 direccio_equips
 
Fddcd b02 documents_planificacio_v1_2015
Fddcd b02 documents_planificacio_v1_2015Fddcd b02 documents_planificacio_v1_2015
Fddcd b02 documents_planificacio_v1_2015
 
Fddcd b01 lideratge_v1_2015
Fddcd b01 lideratge_v1_2015Fddcd b01 lideratge_v1_2015
Fddcd b01 lideratge_v1_2015
 
Pd maquines electriques_1415
Pd maquines electriques_1415Pd maquines electriques_1415
Pd maquines electriques_1415
 
ProgramacionDidacticaAutomatismosIndusatriales_1617
ProgramacionDidacticaAutomatismosIndusatriales_1617ProgramacionDidacticaAutomatismosIndusatriales_1617
ProgramacionDidacticaAutomatismosIndusatriales_1617
 
Pd sistemes sequencialsprogramables_1415
Pd sistemes sequencialsprogramables_1415Pd sistemes sequencialsprogramables_1415
Pd sistemes sequencialsprogramables_1415
 
Programacions didacticasfp extractolibrov1.0_naullibres
Programacions didacticasfp extractolibrov1.0_naullibresProgramacions didacticasfp extractolibrov1.0_naullibres
Programacions didacticasfp extractolibrov1.0_naullibres
 
03 cpea rof_centres_artistic
03 cpea rof_centres_artistic03 cpea rof_centres_artistic
03 cpea rof_centres_artistic
 
02 cpea titol_curriculum_loe_artistic
02 cpea titol_curriculum_loe_artistic02 cpea titol_curriculum_loe_artistic
02 cpea titol_curriculum_loe_artistic
 
01 cpea referenteuropeu_lleiorganica_artistic
01 cpea referenteuropeu_lleiorganica_artistic01 cpea referenteuropeu_lleiorganica_artistic
01 cpea referenteuropeu_lleiorganica_artistic
 
Competencies basiques
Competencies basiquesCompetencies basiques
Competencies basiques
 
Ai conceptes procediments_v1.1_extractellibre
Ai conceptes procediments_v1.1_extractellibreAi conceptes procediments_v1.1_extractellibre
Ai conceptes procediments_v1.1_extractellibre
 
Ai conceptos procedimientos_v1.0_extractolibro
Ai conceptos procedimientos_v1.0_extractolibroAi conceptos procedimientos_v1.0_extractolibro
Ai conceptos procedimientos_v1.0_extractolibro
 
Ai presentacion libro_castellano_270813
Ai presentacion libro_castellano_270813Ai presentacion libro_castellano_270813
Ai presentacion libro_castellano_270813
 
Proposta de Programació Didàctica
Proposta de Programació DidàcticaProposta de Programació Didàctica
Proposta de Programació Didàctica
 

Recently uploaded

ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdf
ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdfESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdf
ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdfErnest Lluch
 
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,Lasilviatecno
 
Sílvia_López_Competic3_bloc000002_C8.pdf
Sílvia_López_Competic3_bloc000002_C8.pdfSílvia_López_Competic3_bloc000002_C8.pdf
Sílvia_López_Competic3_bloc000002_C8.pdfsilvialopezle
 
Plans Estudi per Especialitats - El Musical
Plans Estudi per Especialitats - El MusicalPlans Estudi per Especialitats - El Musical
Plans Estudi per Especialitats - El Musicalalba444773
 
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfISMAELALVAREZCABRERA
 
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdf
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdfELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdf
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdfMarinaRiera1
 
MECANISMES I CINEMÀTICA 1r DE BATXILLERAT
MECANISMES I CINEMÀTICA 1r DE BATXILLERATMECANISMES I CINEMÀTICA 1r DE BATXILLERAT
MECANISMES I CINEMÀTICA 1r DE BATXILLERATLasilviatecno
 
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfISMAELALVAREZCABRERA
 
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptx
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptxXARXES UBANES I LA SEVA PROBLEMÀTICA.pptx
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptxCRIS650557
 

Recently uploaded (11)

ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdf
ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdfESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdf
ESCOLAERNESTLLUCHINFORME_BAREM_RESOLTES_BAREM.pdf
 
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,
SISTEMA DIÈDRIC. PLANS, PAREL·LELISME,PERPENDICULARITAT,
 
Sílvia_López_Competic3_bloc000002_C8.pdf
Sílvia_López_Competic3_bloc000002_C8.pdfSílvia_López_Competic3_bloc000002_C8.pdf
Sílvia_López_Competic3_bloc000002_C8.pdf
 
Plans Estudi per Especialitats - El Musical
Plans Estudi per Especialitats - El MusicalPlans Estudi per Especialitats - El Musical
Plans Estudi per Especialitats - El Musical
 
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
 
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdf
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdfELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdf
ELS DÉUS DE LA MITOLOGIA GREGA (Catalán).pdf
 
MECANISMES I CINEMÀTICA 1r DE BATXILLERAT
MECANISMES I CINEMÀTICA 1r DE BATXILLERATMECANISMES I CINEMÀTICA 1r DE BATXILLERAT
MECANISMES I CINEMÀTICA 1r DE BATXILLERAT
 
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdfESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
ESCOLA MEDITERRÀNIA revista Sant Jordi 2024__MOSTRA (1).pdf
 
HISTÒRIES PER A MENUTS II. CRA Serra del Benicadell.pdf
HISTÒRIES PER A MENUTS II. CRA  Serra del Benicadell.pdfHISTÒRIES PER A MENUTS II. CRA  Serra del Benicadell.pdf
HISTÒRIES PER A MENUTS II. CRA Serra del Benicadell.pdf
 
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptx
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptxXARXES UBANES I LA SEVA PROBLEMÀTICA.pptx
XARXES UBANES I LA SEVA PROBLEMÀTICA.pptx
 
itcs - institut tècnic català de la soldadura
itcs - institut tècnic català de la soldaduraitcs - institut tècnic català de la soldadura
itcs - institut tècnic català de la soldadura
 

Ssp04 alumnat

  • 1. UT4 – APRENGUEM UN NOU IDIOMA! Professor: Raül Solbes i Monzó 1 UT4- Aprenguem un nou idioma! Sistemes Seqüencials Programables Automatització i Robòtica Industrial IES Cotes Baixes
  • 2. 2 OBJECTIUS: Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Conèixer els principis generals de l'electrònica digital (funcions, sistemes combinacionals i seqüencials, numeració i codificació de la informació) Aprendre diversos llenguatges de programació. PRECONEIXEMENT: L'alumnat haurà de conèixer les característiques i peculiaritats dels PLC i de GRAFCET. Així mateix, també haurà de tindre nocions bàsiques respecte dels dispositius electromecànics i electrònics que envolten un SSP. És a dir, conceptes estudiants en Uts anteriors.
  • 3. 3 4.1 • Principis bàsics d'electrònica digital. 4.2 • Funcions lògiques fonamentals. 4.3 • Sistemes combinacionals. 4.4 • Sistemes seqüencials. Els biestables. 4.5 • Sistemes de numeració i codificació de la informació. 4.6 • Conceptes bàsics de programació. El mapa de memòria. 4.7 • Llenguatges de programació. ÍNDEX DE CONTINGUTS: Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma!
  • 4. 4 ELECTRÒNICA DIGITAL. CONCEPTE L'electrònica digital és una part de l'electrònica que s'encarrega de sistemes en els quals la informació està codificada de forma discreta, és a dir, aquella informació que empra senyals digitals. El més comú és que aquests senyals siguin de dos estats, als quals se'ls pot cridar "veritable" o "fals", o també “1” i “0”. L'estat “1” o estat “veritable” significa que existeix senyal. En canvi, l'estat “0” o estat “fals” significa que no existeix senyal. La interpretació d'aquest senyal es realitza a través de tensió, de manera que si el valor de tensió supera un determinat marge, es considera que és un “1” i si la tensió està per sota d'un nivell, es considerarà que és un “0”. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials
  • 5. 5 SENYALS, VALORS I CLASSIFICACIÓ: Tipus de senyals:  Senyals analògics, és a dir, aquells que tenen un rang de variació contínua des d'un interval definit en el camp dels nombres reals (valors infinits).  Senyals digitals, és a dir, aquells en les quals el rang de valors és discret i definit en intervals del camp dels nombres enters (valors finits). Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Sistema digital (valors) 2 valors (binaris) Més de 2 valors Sistema digital (classificació) Combinacional Seqüencial
  • 6. 6 AND: Descripció: Aquesta funció lògica correspon amb l'operació bàsica PRODUCTE. La funció només és certa quan tots els seus termes són certs. Equival a un circuit sèrie. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 0 0 1 0 1 0 0 1 1 1 Símbol:
  • 7. 7 OR: Descripció: Aquesta funció lògica correspon amb l'operació bàsica SUMA. La funció és certa quan un dels seus termes és cert. Equival a un circuit paral·lel. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 0 0 1 1 1 0 1 1 1 1 Símbol:
  • 8. 8 NOT: Descripció: Aquesta funció lògica complementa la informació d'entrada: si aquesta és certa, l'eixida serà falsa, i viceversa. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a): a C 0 1 1 0 Símbol:
  • 9. 9 NAND: Descripció: Aquesta funció lògica es correspon amb una funció AND complementada, és a dir, la funció és falsa si totes les seues variables d'entrada són certes. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 1 0 1 1 1 0 1 1 1 0 Símbol:
  • 10. 10 NOR: Descripció: Aquesta funció lògica es correspon amb una funció OR complementada, de manera que la funció és certa si totes les seues variables d'entrada són falses. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 1 0 1 0 1 0 0 1 1 0 Símbol:
  • 11. 11 XOR: Descripció: Aquesta funció coneguda com OR exclusiva o funció XOR té associada una expressió que és certa si només és certa una de les seues variables. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 0 0 1 1 1 0 1 1 1 0 Símbol:
  • 12. 12 XNOR: Descripció: Aquesta funció és la NOR exclusiva o funció coincidència, és a dir, és certa si totes les variables d’entrada tenen el mateix valor Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Taula de la veritat. C=f(a,b): a b C 0 0 1 0 1 0 1 0 0 1 1 1 Símbol:
  • 13. 13 GENERALITATS: Combinant distintes funcions bàsiques, és possible dissenyar el control d'un sistema automàtic. Ara bé, en la pràctica, i amb la intenció d'optimitzar al màxim els circuits integrats, és molt comú utilitzar únicament un tipus de portes lògiques (NAND o NOR). Per tant, les portes lògiques NAND i NOR es consideren universals, ja que es pot fer el disseny d'un circuit lògic només utilitzant aquestes portes. Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials. Activitat A-4.1 – Relació NOR - AND Utilitza portes NOR i dibuixa un circuit electrònic de forma que el resultat siga el corresponent a una funció AND
  • 14. 14 GENERALITATS: Mitjançant les funcions lògiques fonamentals és possible representar el comportament d'un sistema automàtic, utilitzant per a fer açò expressions matemàtiques. Exemples: D = a+b (perquè el sistema “D” s'active, una de les seues dues variables, “a” o “b”, han d'estar actives). S = (a+b)·d (perquè el sistema “S” s'active, ha d'estar activa la seua variable “d”, i una de les variables “a” o “b”). … En funció dels operadors lògics, és possible obtenir qualsevol expressió matemàtica que definisca el comportament d'un sistema automàtic. No és objecte d'aquesta unitat de treball estudiar amb profunditat aquests conceptes, encara que sí és important que sàpigues interpretar una expressió matemàtica, amb la finalitat de poder dibuixar el seu circuit lògic associat Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials
  • 15. 15 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.2 – Teoremes i simplificació de funcions lògiques (activitat en grups de 4-5) L’electrònica digital és un camp molt ampli, on és possible aprofundir al respecte de diversos ítems. Per tal de programar PLCs no és necessari conèixer amb profunditat tots aquets ítems que defineixen l’electrònica digital i l’Àlgebra de Boole, ara bé, a nivell didàctic, sí resulta interessant saber alguna cosa més del que s’ha desenvolupat fins el moment. El procés de resolució d’aquesta activitat serà el següent: 1. Busca informació al respecte dels teoremes fonamentals de l’Àlgebra de Boole i de la simplificació de funcions lògiques (mètode gràfic de Karnaugh). 2. Prepara un presentació per tal d’explicar a la resta de companys de classe els conceptes i l’aplicació pràctica d’aquests teoremes i mètodes de simplificació. 3. Finalitza l’exposició amb una valoració personal. Observacions: • El temps d’exposició estarà comprès entre els 10 i els 15 minuts. • Durant l’exposició han d’intervindre tots els components del grup. • Es valorarà molt positivament la creativitat i la utilització d’exemples pràctics i reals.
  • 16. 16 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.3 – Automatització amb portes lògiques (activitat en parelles) Utilitzant portes lògiques, realitza l’esquema associat a l’automatització següent: Es desitja realitzar un automatisme per al control de l'obertura d'una porta automàtica. Els requisits de funcionament són els següents: • La porta s'obrirà automàticament en detectar la presència d'una persona mitjançant el detector de moviment interior (B1) o el detector de moviment exterior (B2) • Una vegada que la persona abandone la zona d'influència dels detectors de moviment i transcorregut un temps d'espera “t”, la porta ha de tancar-se automàticament. • La detecció de l'estat de la porta es realitzarà mitjançant 2 finals de carrera, un a cada costat de la porta. • L'accionament de la porta es realitzarà mitjançant un motor controlat pels contactors KM1 (obrir) i KM2 (tancar). • Mitjançant el dispositiu de comandament adequat (S1) es pot bloquejar la porta de manera que sempre quede tancada, encara en presència de persones. Per a resoldre aquesta activitat és recomanable seguir els següents passos: 1. Identificar les variables que afecten al procés d'automatització. 2. Realitzar la taula de la veritat. 3. Simplificar al màxim l'expressió algebraica. 4. Realitzar l’esquema amb portes lògiques bàsiques (es recomanable utilitzar un programari que incloga la simbologia d’aquestes portes, com per exemple el programari DIA - http://dia-installer.de/index.html.en) Es demana: 1. La taula de la veritat 2. El procés de simplificació 3. L’esquema amb portes bàsiques (logigrama)
  • 17. 17 COMBINACIONALS. GENERALITATS. OPERADORS ARITMÈTICS Els sistemes combinacionals utilitzen funcions lògiques combinades entre si, amb la finalitat de constituir circuits electrònics en els quals l'eixida depèn, en tot moment, del valor de les entrades. Existeixen circuits combinacionals amb funcions prèviament definides, i són circuits molt utilitzats per al disseny d'aplicacions concretes. Els més coneguts són els següents: Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 1. Circuits d'operacions aritmètiques. Permeten sumar, restar, multiplicar o dividir bits. s c a b a b RESULTADO ACARREO Exemple: sumador Taula de la veritat (sumador) a b S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1
  • 18. 18 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.4 – Sistema combinacional – circuit restador El símbol i la taula de la veritat d’un circuit combinacional restador ¨són els següents: Utilitza portes lògiques (AND, NOT, EXOR,…) i dibuixa el logigrama associat a este circuit combinacional Taula de la veritat (restador) a b D P 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0
  • 19. 19 COMBINACIONALS. COMPARADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 2. Comparadors. S’utilitzen per a comparar bits, de manera que activen una o una altra eixida en funció del resultat de la comparació: • Igual (Equal – E) • Menor (Less – L) • Major (Greater – G) Exemple: sumador Taula de la veritat (comparador 2 bits) a b E L G 0 0 1 0 0 0 1 0 1 0 1 0 0 0 1 1 1 1 0 0 a b E G L
  • 20. 20 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.5 – Sistema combinacional – comparador de 8 bits El circuit 74HC85 és un comparador de 4 bits, l'esquema del quals es mostra en la figura següent: Es demana, utilitzant circuits 74HC85, implementar un comparador de 2 nombres de 8 bits.
  • 21. 21 COMBINACIONALS. CODIFICADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 3. Codificadors. Permeten codificar en binari el valor de les seues entrades. Compten amb 2n entrades i n eixides. Per exemple, si el codificador té 3 eixides, tindrà 23 entrades, és a dir, 8 entrades. Poden ser: • NO prioritaris, de forma que no poden activar-se simultàniament diverses entrades, ja que les eixides serien incorrectes. • Prioritaris, en els quals, si s’activen simultàniament diverses entrades, les eixides representaran en codi binari corresponent a l'entrada decimal de major valor. Exemple: codificador prioritari de 4 entrades i 2 eixides Taula de la veritat (codificador 4x2) Entrades Eixides E0 E1 E2 E3 A B 1 0 0 0 0 0 X 1 0 0 0 1 X X 1 0 1 0 X X X 1 1 1
  • 22. 22 COMBINACIONALS. DECODIFICADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 4. Decodificadors. Són circuits que realitzen la funció inversa al codificador, és a dir, compten amb n entrades i 2n eixides, de manera que decodifiquen un nombre binari. Exemple: decodificador de 2 entrades i 4 eixides Taula de la veritat (decodificador 2x4) Entrades Eixides A B F0 F1 F2 F3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1
  • 23. 23 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.6 – Sistema combinacional – decodificador BCD – 7 segments El decodificador més conegut és el decodificador BCD de 7 segments. Aquest circuit permet, mitjançant un codi BCD en les seues entrades, activar en les seues eixides un display de 7 segments per a indicar un dígit decimal. Aquest display està format per un conjunt de 7 leds connectats a una punt comú. El procés és el següent: 1. A cada segment se li assigna una lletra. 2. Cada nombre en decimal activarà unes determinades lletres o segments. 3. S‘utilitza un decodificador 4x16, del que tan sols es fan servir 7 eixides, quedant 8 combinacions com a indiferents (X). Es demana, la taula de la veritat associada a un decodificador BCD de 7 segments
  • 24. 24 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.6 – Sistema combinacional – decodificador BCD – 7 segments
  • 25. 25 COMBINACIONALS. MULTIPLEXORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 5. Multiplexors. Són circuits amb dos tipus d'entrades: entrades d'informació i entrades de selecció. En funció del valor de les entrades de selecció, l'eixida és igual a una de les seues entrades d'informació. Compten amb N entrades d'informació, n de selecció i una única eixida. Exemple: multiplexor de 2 entrades d’informació i 1 de selecció Taula de la veritat (multiplexor 2/1) S0 E0 E1 A 0 0 0 0 (E0) 0 0 1 0 (E0) 0 1 0 1 (E0) 0 1 1 1 (E0) 1 0 0 0 (E1) 1 0 1 1 (E1) 1 1 0 0 (E1) 1 1 1 1 (E1)
  • 26. 26 COMBINACIONALS. MULTIPLEXORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Una aplicació dels multiplexors, és la de generar funcions lògiques. El fonament és que, després de la minimització, una funció lògica de n variables no pot contenir més de 2n-1 termes irreductibles. És a dir, per a una funció lògica de 4 variables es necessitarà un multiplexor amb 24-1 entrades informació, de manera que 3 variables seran les entrades de selecció, i la quarta variable serà la d'informació. Exemple: representació amb multiplexor de la funció: F = ∑4 (0,3,7,10,11,13,15) • Variables: 4 • Entrades del multiplexor = 24-1 = 8
  • 27. 27 COMBINACIONALS. DEMULTIPLEXORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 6. Demultiplexors. Són circuits que realitzen la funció inversa als multiplexors, és a dir, en funció de l'entrada de selecció, transfereixen el valor de la seua única entrada d'informació, a una de les seues eixides. Per tant, es tracta d’un circuit combinacional que rep informació en una sola línia i la transmet a una de 2n línies possibles d'eixida. La selecció d'una línia d'eixida es controla per mitjà dels valors dels bits de n línies de selecció. Exemple: demultiplexor d'1 entrada d'informació, 2 entrades de selecció i 4 eixides. Taula de la veritat (decodificador 2x4) Entrades selecció Eixides ES0 ES1 S0 S1 S2 S3 0 0 E1 0 0 0 0 1 0 E1 0 0 1 0 0 0 E1 0 1 1 0 0 0 E1
  • 28. 28 SEQÜENCIALS. GENERALITATS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials A diferència dels sistemes combinacionals, en un sistema seqüencial les eixides depenen del valor actual de les entrades i del valor que tenien en l'estat anterior, és a dir, posseeixen memòria. Bàsicament un sistema seqüencial es constitueix d'una part purament combinacional i una part dedicada a la memorització. Els elements bàsics de memòria posseeixen dos estats estables, per aquest motiu se'ls coneix com biestables, encara que també se'ls crida comunment bàscules o flip-flops. El dispar d’un biestable s'aconsegueix a partir d’un determinat nivell de tensió a l'entrada, de manera que el canvi d'estat s'aconsegueix per: 1. Un flanc de transició positiva (transició de 0 a 1). 2. Un flanc de transició negativa (transició d'1 a 0). D'altra banda, el dispar pot ser: • En variar les seues entrades (Biestable Asíncron). • En variar les seues entrades i un senyal d'un rellotge, (Biestable Síncron). Els biestables es constitueixen a través de portes NOR o portes NAND, de manera que en funció del tipus de funció que s‘utilitze (NAND o NOR), el canvi es produeix en un flanc ascendent o en un flanc descendent.
  • 29. 29 SEQÜENCIALS. BIESTABLES R-S Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials El biestable R-S, és el biestable més senzill i constitueix la base a partir de la qual es formen els altres biestables. Presenta l'inconvenient de tenir un estat d'indeterminació. Tipus de biestables R-S: • R-S asíncron amb portes NOR, • R-S asíncron amb portes NAND, • R-S síncron amb portes NOR, • R-S síncron amb portes NAND, • R-S Mestre-Esclau. Exemple: biestable R-S asíncron amb portes NOR (impuls ascendent) Entrades Eixida Q R S Q 0 0 QN-1 0 1 0 1 0 1 1 1 ¿? Biestable R-S amb portes NOR: S=R=1 - indeterminació Biestable R-S amb portes NAND: S=R=0 - indeterminació
  • 30. 30 SEQÜENCIALS. BIESTABLES R-S Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Biestable R-S asíncron amb portes NAND (impuls descendent) Biestable R-S asíncron amb portes NOR (impuls ascendent) Entrades Eixida Q R S Q 0 0 ¿? 0 1 0 1 0 1 1 1 QN-1
  • 31. 31 SEQÜENCIALS. BIESTABLES R-S Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Biestable R-S Mestre -Esclau Mestre Esclau
  • 32. 32 SEQÜENCIALS. BIESTABLES D Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Es tracta d'un dispositiu utilitzat per a retardar la transferència del senyal aplicat a la seua entrada (D) fins a l'eixida. És a dir, el valor de l'entrada passa a l'eixida en arribar el senyal de rellotge. El biestable D s'obté de modificar les connexions d'un biestable R-S síncron. Amb aquest biestable s'evita el problema d'indeterminació que tenen els biestables R-S, doncs no es permet que R i S tinguen el mateix valor. Exemple: biestable D (amb portes NOR) Entrades Eixida Q R S Q 0 0 1 0 1 0 1 0 1 1 1 0
  • 33. 33 SEQÜENCIALS. BIESTABLES J-K Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Els biestables J-K sorgeixen com a necessitat per a resoldre el problema d'indeterminació dels biestables R-S. Aquests biestables són similars a un biestable R-S tipus Mestre-Esclau amb una xicoteta modificació, la qual permet eliminar l'estat d'indeterminació. En lloc d'un estat d'indeterminació, s'obté la inversió de l'estat anterior. D'aquesta forma s'aconsegueixen quatre solucions diferents amb cadascuna de les quatre possibles combinacions de les seues entrades. Circuit integrat SN 7476 N (biestable J-K)
  • 34. 34 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.7 – Sistema seqüencial – biestable J-K amb portes NAND (activitat en parelles) L’esquema següent representa la composició interna d’un biestable J-K amb portes NAND. Analitza el seu funcionament i completa la taula de la veritat associada Entrades Eixida Q J K Qn+1 0 0 0 1 1 0 1 1
  • 35. 35 SEQÜENCIALS. BIESTABLES T Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Pot considerar-se una versió simplificada del J-K, doncs bascula en tots els impulsos de rellotge. Segons s‘utilitzen portes NAND o NOR, bascula en el flanc ascendent o bé en el descendent. Biestable T
  • 36. 36 SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Els registres de desplaçament són una unió en sèrie d'un nombre determinat de cèl·lules de memòria (biestables), bé siguen del tipus R-S o del tipus J-K. Tenint en compte que cada biestable pot emmagatzemar la informació d'1 bit, un registre de desplaçament, emmagatzema la informació corresponent a tants bits com biestables el formen. Per exemple, si un registre de desplaçament està constituït per 8 bits, serà capaç d'emmagatzemar fins a 8 bits. Els biestables estan connectats entre si, de manera que l'eixida de cadascun d'ells va directament unida a l'entrada del següent. Les entrades de rellotge s'uneixen en paral·lel, de manera que un pols de rellotge implica un desplaçament del valor del biestable anterior al següent. Segons la disposició de les entrades i eixides d'informació, els registres de desplaçament es poden classificar com: • Entrada sèrie i eixida sèrie. • Entrada sèrie i eixida paral·lel. • Entrada paral·lel i eixida sèrie. • Entrada paral·lel i eixida paral·lel.
  • 37. 37 SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: registre de desplaçament de 3 bits Entrada sèrie - eixida sèrie
  • 38. 38 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.8 – Sistema seqüencial – registres de desplaçament A partir del registre de desplaçament de 3 bits “Entrada sèrie i eixida sèrie”, implementa un registre de desplaçament de “Entrada sèrie i eixida paral·lel”.
  • 39. 39 SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: registre de desplaçament de 3 bits Entrada paral·lel - eixida sèrie
  • 40. 40 SEQÜENCIALS. REGISTRES DE DESPLAÇAMENT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: registre de desplaçament de 3 bits Entrada paral·lel - eixida paral·lel
  • 41. 41 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Els comptadors són circuits electrònics basats en la interconnexió de diferents biestables. Es diferencien dels registres de desplaçament perquè aporten la informació d'una forma determinada, doncs s‘utilitzen per a comptar el nombre d'impulsos aplicats a les seues entrades. Les seues aplicacions són molt diverses: còmput, seqüenciadors d'operacions, divisió de freqüències, manipulació aritmètica, mesurament de temps, etc. Utilitzen biestables J-K, R-S, D i T, i es classifiquen en dos grans grups: 1. Comptadors asíncrons o comptadors sèrie, en els quals el canvi d'un biestable activa al següent de la cadena. 2. Comptadors síncrons o comptadors paral·lel, en els quals tots els biestables canvien d'estat simultàniament. També és possible trobar comptadors ascendents i descendents.
  • 42. 42 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Diagrama: Cronograma: Comptador asíncron binari: 1,2,4,8
  • 43. 43 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Funcionament: • Cada impuls de rellotge provocarà, en el seu flanc descendent, un canvi en l'eixida de comptatge 1. • Cada canvi en l'eixida de comptatge 1, en el seu flanc descendent, provocarà un canvi en l'eixida de comptatge 2. • I així successivament, fins a arribar a l'eixida de comptatge 8, de manera que: • Eixida 1 → canvia d'estat en cada impuls de rellotge. • Eixida 2 → canvia d'estat per cada 2 impulsos de rellotge. • Eixida 4 → canvia d'estat per cada 4 impulsos de rellotge. • Eixida 8 → canvia d'estat per cada 8 impulsos de rellotge. Si en lloc d'unir les eixides Q, s'uneixen les Q’, el comptador produeix un comptatge descendent. Comptador asíncron binari: 1,2,4,8
  • 44. 44 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.9 – Sistema seqüencial – comptadors Què representa el circuit següent?
  • 45. 45 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • Velocitat de comptatge→ paràmetre molt important d'un comptador. • El principal inconvenient dels comptadors asíncrons → Velocitat de còmput. Exemple 1: • Suposa un comptador asíncron de 4 biestables, on cada biestable té un retard de propagació de 25ns. • El retard total del comptador asíncron serà de 4x25ns = 100ns • 100ns → 10MHz (f=1/t) • Si es produeix una entrada durant aquests 100ns el *comptatge serà incorrecte. Comptadors asíncrons i comptadors síncrons
  • 46. 46 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • S’utilitzen biestables J-K com els comptadors asíncrons. • Són activats per un senyal comú de manera que canvien d'estat simultàniament. • Cada biestable canviarà d'estat amb l'arribada d'un senyal de rellotge, només quan tots els biestables anteriors proporcionen un nivell 1 en les seues eixides Q. • En rebre l'impuls de rellotge simultàniament a tots els biestables, el retard total (independentment del nombre de biestables) és igual al d'una etapa. Exemple 2: Recordant l'exemple anterior (exemple 1). El temps de retard de cada biestable era de 25ns, i el total era de 100ns En el cas del biestable síncron, el retard total serà de 25ns + 10ns (porta AND) = 35ns (28,5MHz) Comptadors síncrons
  • 47. 47 SEQÜENCIALS. COMPTADORS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Esquema típic d’un comptadors síncrons
  • 48. 48 SISTEMES DE NUMERACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Els sistemes de numeració són utilitzats per a explicar i representar objectes a través de números Usualment s‘utilitzen sistemes ponderats basats en tres conceptes: 1. La base, representada mitjançant un nombre sencer qualsevol. 2. Els dígits, que són els caràcters mitjançant els quals es representen els nombres. 3. El pes, que representa la posició relativa d'un dígit respecte d‘un conjunt de nombres.
  • 49. 49 SISTEMES DE NUMERACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 1. El sistema decimal: En aquest sistema, la base és 10, i compta amb 10 dígits (0, 1, 2, 3, 4, 5, 6, 7, 8, 9) Exemple de descomposició d'un nombre decimal: 2053 = 2x103 + 0x102 + 5x101 + 3x100= 2x1000 + 0x100 + 5x10 + 3x1 = 2053 2. El sistema binari: En aquest sistema, la base és 2 i tan sols compta amb 2 dígits (0, 1) Exemple de descomposició d'un nombre binari: 11011 = 1x24 + 1x23 + 0x22 + 1x21 + 1x20 = 1x16 + 1x8 + 0x4 + 1x2 + 1x1 = 27 És a dir, el nombre binari 11011 és equivalent al nombre digital 27
  • 50. 50 SISTEMES DE NUMERACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 3. El sistema octal: En aquest sistema, la base és 8 , i els seus dígits també són 8 (0, 1, 2, 3, 4, 5, 6, 7) Exemple de descomposició d'un nombre octal: 551 = 5x82 + 5x81 + 1x80 = 5x64 + 5x8 + 1x1 = 361 És a dir, el nombre octal 551 és equivalent al nombre digital 361. 4. El sistema hexadecimal: En aquest sistema, la base és 16 , i els seus dígits també són 16 (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, I, F) Exemple de descomposició d'un nombre hexadecimal: F5D3 = Fx163 + 5x162 + Dx161 + 3x160 = 15x4096 + 5x256 + 13x16 + 3x1 = 62931 És a dir, el nombre hexadecimal F5D3 és equivalent al nombre digital 62931.
  • 51. 51 SISTEMES DE NUMERACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials
  • 52. 52 SISTEMES DE NUMERACIÓ. CONVERSIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Conversió d'octal a binari i de binari a octal: La base del sistema octal (8) és la tercera potència de la base del sistema binari (2). En aquest sentit, per a realitzar la conversió tan sols es requereix fer grups de tres en tres de forma independent: • Exemple de conversió d'octal a binari: (615)8 = (110)(001)(101) = (110001101)2 • Exemple de conversió de binari a octal: (10101110)2 = (010)(101)(110) = (256)8 Conversió d'hexadecimal a binari i de binari a hexadecimal: La base del sistema hexadecimal (16) és la quarta potència de la base del sistema binari (2). En aquest sentit, per a realitzar la conversió tan sols es requereix fer grups de quatre en quatre de forma independent: • Exemple de conversió d'hexadecimal a binari: (74B)16 = (0111)(0100)(1011) = (11101001011)2 • Exemple de conversió de binari a hexadecimal: (101101001011)2 = (1011)(0100)(1011) = (B4B)16
  • 53. 53 SISTEMES DE NUMERACIÓ. CONVERSIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Conversió de binari, octal o hexadecimal a decimal: Es tracta de multiplicar el dígit corresponent, per la potència del sistema de codificació elevada a la posició que ocupa. • Exemple de conversió de binari a decimal: 110112 = 1x24 + 1x23 + 0x22 + 1x21 + 1x20 = 1x16 + 1x8 + 0x4 + 1x2 + 1x1 = 2710 • Exemple de conversió d'octal a decimal: 5518 = 5x82 + 5x81 + 1x80 = 5x64 + 5x8 + 1x1 = 36110 • Exemple de conversió d'hexadecimal a decimal: F5D316 = Fx163 + 5x162 + Dx161 + 3x160 = 15x4096 + 5x256 + 13x16 + 3x1 = 6293110
  • 54. 54 SISTEMES DE NUMERACIÓ. CONVERSIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Conversió de decimal a binari, octal o hexadecimal. Conversió d ela part sencera: En aquest cas, es tracta de dividir el nombre digital entre la base del sistema al què es pretén convertir, fins que el resultat de la divisió ja no siga divisible per la base del nou sistema. El resultat de la conversió correspondrà a la resta col·locada en sentit invers Exemple de conversió de decimal a binari: 45910 = 1110010112
  • 55. 55 SISTEMES DE NUMERACIÓ. CONVERSIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Conversió de decimal a binari, octal o hexadecimal. Conversió d ela part fraccionaria: El mètode pràctic consisteix a realitzar multiplicacions successives: la part fraccionària del nombre decimal es multiplica per la base del nou sistema de numeració, del producte obtingut se separa la part sencera, que serà la primera xifra fraccionària del nombre en el nou sistema, i la part fraccionària es multiplica novament per la nova base; del nou producte obtingut se separa la part sencera, que serà la segona xifra fraccionària del nombre en el nou sistema; la part fraccionària es multiplica una altra vegada per la nova base, …. L'operació es repeteix les vegades necessàries fins a aconseguir l'aproximació desitjada o fins que la part fraccionària s'anul·le. Els “acarreos” obtinguts ordenats en sentit descendent seran la part fraccionària del nombre decimal de partida en el nou sistema de numeració. (0,6875) 10 a binari Resultat “Acarreo” 0,6875x2 1,375 1 0,375x2 0,75 0 0,75x2 1,5 1 0,5x2 1 1
  • 56. 56 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.10 – Sistemes de numeració. Conversions Realitza les conversions següents: 1. De binari a decimal: (10101,1101) 2 2. D’octal a decimal: (257,312) 8 3. D’hexadecimal a decimal: (A4,3B) 16 4. De decimal a octal: (2819,735) 10 5. De decimal a hexadecimal: (15846,236801) 10
  • 57. 57 SISTEMES DE CODIFICACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Un codi és un sistema de signes i regles que estableix la relació entre un missatge i la seva representació. És possible codificar lletres, números i símbols, de manera que existeixen sistemes de codificació numèrics i alfanumèrics. Els sistemes de codificació més utilitzats en els sistemes automàtics, són els següents: 1. Codi Binari natural. El nombre es representa directament en binari. Exemple: 1210 = 11002 2. Codi GRAY. És molt similar a l'anterior, però el codi GRAY sorgeix amb la finalitat d'obtenir un sistema de codificació més segur. En aquest codi tan sols es produeix un canvi de dígit d'un nombre a un altre.
  • 58. 58 SISTEMES DE CODIFICACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials 3. Codi BCD natural. Aquest sistema codifica cada xifra de forma independent, de manera que utilitza quatre dígits binaris per a cada dígit decimal. Exemple: 12910 = (0001) (0010) (1001) = 1001010012 4. Codi ASCII. Aquest codi va permetre l'estandardització de la comunicació entre els perifèrics dels ordinadors. És un codi que utilitza un total de 8 bits (7 d'informació i 1 per a la detecció d'errors). Descripció: El primer dígit dels 8 bits es reserva per al bit de paritat, i la resta, en funció de la combinació, tenen un significat o un altre. Exemples: 11000000, indica @ 01110100, indica “t”
  • 59. 59 SISTEMES DE CODIFICACIÓ Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Codi ASCII
  • 60. 60 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.11 – Sistemes de codificació Realitza les codificacions següents: 1. De BCD a decimal: (0110100101111000)BCD 2. De decimal a BCD: (1974)10 3. De binari a codi GRAY: (10110)2
  • 61. 61 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Activitat A-4.12 – Sistemes de codificació i comptadors (activitat en parelles) El comptador BCD efectua el comptatge en codi BCD, expressant els 10 nombres decimals mitjançant 4 bits, continguts en 4 biestables. El comptatge de 0 al 9 és el normal i l'equivalent al que efectuen els comptadors binaris. Però a continuació de l'estat 1001 (9), passa de nou al començament, és a dir, 0000. Dibuixa el logigrama corresponent a un comptador BCD Pistes: utilitza 4 biestables J-K, 2 portes AND de 2 entrades I 1 porta AND de 3 entrades.
  • 62. 62 LA MEMÒRIA DELS PLCs Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Introducció La memòria és la part de la CPU que permet guardar informació en forma de bits, els quals poden ser llegits a nivell de posició (bit a bit) o per blocs (més d'un bit). La memòria de la CPU depèn de cada fabricant i de cada model de CPU, per la qual cosa s'ha de tenir en compte a l'hora d'escollir entre un o un altre PLC. És ací on apareix en concepte de mapa de memòria, que no és una altra cosa que la memòria interna de l'autòmat programable. Reflexiona Si es realitza un símil entre un PLC i una biblioteca convencional, podria afirmar-se que el mapa de memòria correspon amb les prestatgeries de la pròpia biblioteca. Ara bé, en lloc de guardar lliures, el PLC guarda dades digitals (uns i zeros). A què una biblioteca té prestatgeries per a situar llibres segons diferents temàtiques? Totes les prestatgeries es classifiquen de la mateixa forma? Totes les biblioteques tens els mateixos llibres? Doncs els mateix ocorre amb els autòmats programables.
  • 63. 63 MAPA DE MEMÒRIA Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple d’un mapa de memòria
  • 64. 64 MAPA DE MEMÒRIA Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Generalitats Quan es diu que un canal concret d'un PLC està destinat a entrades, significa que pot gestionar fins a 16 entrades (recorda que un canal equival a una paraula i una paraula són 16 bits). En aquest cas, per a identificar cadascuna d'aquestes entrades hauràs d'especificar en bit concret. Per exemple: • 1.01 – Entrada 1 del canal 1 (bit 1 del canal 1). • 1.02 – Entrada 2 del canal 1 (bit 2 del canal 2). • ... Malgrat que els mapes de memòria cada vegada són més semblats entre si, cada fabricant té les seues peculiaritats. En aquest sentit, el primer que has de fer abans de programar un PLC, serà esbrinar el seu mapa de memòria. En el mercat pots trobar de tot, així, per exemple: • Certs fabricants reserven posicions de memòria compartides per a temporitzadors i comptadors, uns altres empren posicions independents. • Alguns fabricants identifiquen les àrees de memòria per a treballs a nivell de paraula amb la lletra M, uns altres la identifiquen com a D. • ...
  • 65. 65 UNE-EN 61131 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Introducció Amb l'evolució i desenvolupament del maquinari dels PLC, s'ha produït una evolució paral·lela dels seus sistemes de programació. Els primers llenguatges desenvolupats van ser el llistat d'instruccions i el llenguatge de contactes, i posteriorment van aparèixer altres llenguatges de programació com: el text estructurat, el diagrama de contactes o el gràfic seqüencial de funcions. La norma UNE-EN 61131 intenta estandarditzar el sistema de programació de tots els autòmats, mitjançant un únic estàndard internacional. Els apartats de la norma UNE-EN 61131 són els següents: • Part 1. Informació general. • Part 2. Especificacions i assajos dels equips. • Part 3. Llenguatges de programació. • Part 4. Guies d'usuari. • Part 5. Comunicacions. • Part 6. Comunicacions via busos de camp. • Part 7. Programació del control “Fuzzy” o borrós (lògica borrosa). • Parteix 8. Guies per a l'aplicació i implementació de llenguatges per a autòmats programables. PLC Open és un grup de treball que està desenvolupant i actualitzant contínuament la norma 61131 (http://www.plcopen.org/)
  • 66. 66 UNE-EN 61131. Part 3 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Llista d'instruccions (IL o AWL): Llenguatge de baix nivell, similar al llenguatge ensamblador. Només es permet programar una operació per línia. Aquest llenguatge és adequat per optimitzar parts d'una aplicació. AND a AND b = c Text estructurat (Structured text o ST): Llenguatge d'alt nivell que té una sintaxi semblant al Pascal. El ST pot ser utilitzat per a realitzar ràpidament sentències complexes que manegen variables amb un ampli rang de diferents tipus de dades, incloent valors analògics i digitals. C:= a AND b El diagrama de funcions (function block diagram o FBD o FUP): Llenguatge gràfic que permet programar elements que apareixen com a blocs per a ser cablejats entre si de forma anàloga a l'esquema d'un circuit. El diagrama de contactes (contact block diagram o LD o KOP): Llenguatge que imita el funcionament dels circuits basats en relés elèctrics. És el més utilitzat per la majoria de programadors elèctrics, i tots els fabricants l'integren en el seu programari. GRAFCET (SFC): Gràfic Funcional de Control d’Etapes i Transicions. Llenguatge organitzatiu que facilita l’estructuració i descomposició d’un problema de control, en parts de menor complexitat.
  • 67. 67 UNE-EN 61131 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Observacions • La norma IEC 61131 deixa certa llibertat d’interpretació en alguns del seus apartats, cosa que permet als fabricants implementacions parcials, i per tant, cert grau de diferenciació entre ells. • Com qualsevol estandardització, la norma IEC 61131 suposa beneficis: a. Combinació d’elements entre fabricants diferents (increment de la connectivitat). b. Determinació d’una estructura general que limita la diferenciació entre fabricants, i per tant, facilita al programador la utilització de diversos entorns de programació. c. Especificació de tècniques de programació que poden ser utilitzades en diferents sectors. d. Reducció de costos de formació, consultoria, manteniment,... e. ...
  • 68. 68 DIAGRAMA DE CONTACTES Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • Es tracta d'un llenguatge gràfic, derivat del llenguatge dels esquemes de maniobra utilitzat en lògica cablejada. Mitjançant símbols es representen els contactes d'entrada, les bobines d'eixida i els elements de control del programa (temporitzadors, comptadors, comparadors,...). • El seu principal avantatge és que els símbols bàsics estan normalitzats segons la norma UNE-EN 61131 i són utilitzats per tots els fabricants. • Els símbols bàsics són els que s'indiquen en la següent figura:
  • 69. 69 DIAGRAMA DE CONTACTES Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 70. 70 DIAGRAMA DE FUNCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • El diagrama de funcions també és un llenguatge gràfic, en el qual s‘utilitzen portes lògiques relacionades entre si amb la finalitat de construir un circuit lògic, és a dir, un programa. • Es tracta d'un llenguatge molt gràfic, però poc eficient, quan el programa és gran. • Els símbols bàsics són els corresponents a les representacions de les portes lògiques AND, OR i NOT.
  • 71. 71 DIAGRAMA DE FUNCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 72. 72 LLISTA D’INSTRUCCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • El llenguatge de llista d'instruccions es pot considerar com una herència de la programació amb llenguatge assemblador. Permet major control de la màquina i del seu entorn, però el programador queda més centrat en el programa que en el propi sistema automàtic. • Aquest llenguatge, al ser textual, substitueix els símbols bàsics, per instruccions bàsiques. Ara bé, cada fabricant utilitza una identificació d'instruccions parcialment diferent entre si, per la qual cosa es requereix consultar les indicacions del PLC a programar. • Les instruccions més comunes són les següents:
  • 73. 73 LLISTA D’INSTRUCCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 74. 74 LLISTA D’INSTRUCCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 75. 75 TEXT EXTRUCTURAT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • El text estructurat és un llenguatge d'alt nivell similar al llenguatge Pascal. • Aquest llenguatge permet una programació estructurada, de manera que és possible subdividir tasques complexes en unitats més xicotetes i fàcils de tractar. • Aquest llenguatge permet utilitzar instruccions bàsiques (AND, OR,...), estructures condicionals (IF, WHILE, FOR, REPEAT,...), salts de programa (JUMP, RETURN,...),... • El text estructurat és apropiat per a aplicacions on existeix manipulació de dades, ordenament computacional i aplicacions matemàtiques que utilitzen valors de coma flotant. Els programadors més experimentats consideren aquest llenguatge com el millor per a la implementació d'aplicacions d'intel·ligència artificial, lògica borrosa, presa de decisions, etc.
  • 76. 76 TEXT EXTRUCTURAT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 77. 77 TEXT EXTRUCTURAT Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 78. 78 GRÀFIC SEQÜENCIAL DE FUNCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • És un llenguatge gràfic que proveeix una representació en forma de diagrama, de les seqüències de control en un determinat sistema automàtic. Té el seu origen en l'estàndard francès GRAFCET, el qual ja vas estudiar en unitats de treball anteriors. • Per tant, els elements bàsics d'aquest llenguatge són: 1. Les etapes. 2. Les accions. 3. Les transicions.
  • 79. 79 GRÀFIC SEQÜENCIAL DE FUNCIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials Exemple: arrancada estrela triangle
  • 80. 80 REFLEXIONS Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! 4.1 Principis bàsics d’electrònica digital 4.2 Funcions lògiques fonamentals 4.5 Sistemes de numeració i codificació 4.6 Programació. Mapa de memòria 4.7 Llenguatges de programació 4.3 Sistemes combinacionals 4.4 Sistemes seqüencials • A causa de la seua similitud amb la lògica elèctrica convencional, el llenguatge de diagrama de contactes (LD) és el més UTILITZAT pels programadors de PLC. Tots els fabricants de PLC permeten la programació mitjançant aquest llenguatge, i un gran percentatge dels tècnics que ara mateix estan programat PLC han après a programar amb aquest llenguatge, fins i tot ho continuen utilitzant sense cap problema. • El llenguatge basat en diagrama de funcions (FBD) és utilitzat per tècnics amb una marcada ideologia electrònica, però encara que és un llenguatge bastant clar i visual, resulta poc eficient si la seqüència de control és complexa, per la qual cosa en la pràctica, resulta un llenguatge poc utilitzat. • El llenguatge de llista d'instruccions (IL) va ser molt utilitzat quan la programació es realitzava a través de consoles de programació. Actualment, encara que existeixen programadors que ho utilitzen, està caient en desús, doncs cada fabricant té les seues pròpies peculiaritats i en la pràctica resulta laboriós aprendre-les totes. • El llenguatge de text estructurat (ST), és l'opció més eficient a l'hora de realitzar programes complexos, doncs permet optimitzar el programa. Per als programadors més avançats, el llenguatge de text estructurat, és la primera alternativa de programació, encara que el seu principal inconvenient és la necessitat de conèixer un llenguatge que, en principi, resulta més complex que el LD, el FBD o el SFC. • El llenguatge gràfic seqüencial de funcions (SFC), és molt útil per a operacions de control seqüencials, on un programa flueix d'un punt a un altre una vegada que una condició ha sigut satisfeta. Actualment és un llenguatge molt utilitzat, doncs és molt visual, i facilita notablement la localització d'errors de programa. El seu principal inconvenient és que la programació no és totalment directa, doncs les seues condicions de transició i accions han de programar-se mitjançant un altre llenguatge.
  • 81. 81 Professor: Raül Solbes i MonzóUT4- Aprenguem un nou idioma! Treball T-4.1 – SSP i llenguatges de programació (treball en grups de 4-5 persones) Introducció: En aquest treball tindràs que combinar diversos dels continguts estudiats fins el moment. Es tracta de plantejar un SSP senzill i desenvolupar-lo per complet, és a dir,: descripció i diagrama, esquemes elèctrics, pressupost, planificació, programa de PLC,... El procés de treball a seguir és el següent: 1. Localitza o planteja tu mateix un SSP senzill, és a dir, un SSP on únicament es relacionen entrades amb eixides sense cap tipus de funció específica (sense temporitzadors, comptadors,...). 2. Descriu-lo i realitza un diagrama gràfic en cas de considerar-ho necessari. 3. Utilitza el SeeElectrical i realitza l’esquema de potència i l’esquema de connexió al PLC. 4. Desenvolupa, mitjançant l’OpenProj, la planificació del muntatge i de la programació 5. Elabora la valoració econòmica (pressupost) incloent tots els recursos necessaris (materials i humans). 6. Dissenya el programa de control de PLC, però utilitza tots els llenguatges de programació estudiats en aquesta UT, és a dir, cal que realitzes 5 programes (diagrama de contactes, diagrama de funcions, llista de funcions, text estructurat, i gràfic seqüencial de funcions). 7. Preparar una presentació i explica a la resta de companys de classe el treball realitzat (30 minuts de temps màxim d’exposició). Caldrà entregar a través de la plataforma Edmodo: • La memòria del treball (descripció, esquemes, pressupost, planificació, programes,...) • La presentació utilitzada en la teua exposició de classe.