SlideShare a Scribd company logo
RISC-V の現況と Esperanto Technologies のアプローチ
Yutaka Yasuda, Kyoto Sangyo University
まず RISC-V のこと
RISC-V : オープンな ISA を求めて
• Berkeley の研究から
• ISA - Instruction Set Architecture
• x86, ARM などは独占的(プロプライエタリ)なビジネスモデル
• オープン・利⽤無料の ISA (32bit, 64bit, 128bit)
• チップやソフトウェアを誰もが⾃由に設計・製作・販売可能
• SoCやチップは MIT, BSD lisence で提供される事が多い(GPL ではない)
• Software もMIT等が多いがベースソフトウェアのライセンスに依存(QEMUはGPL)
https://riscv.org/risc-v-cores/
128bit は事実上予約のみ状態の慎重さ
=誤った予測で将来の負債を作らない
https://riscv.org/software-status/
RISC-V ISA (超)概要
• Base Integer ISA
整数レジスタ32本(0番はゼロ固定)
load, store, and/or/xor, add, sub, compare, branch, jump, nop 程度
• And “Optional Instruction-set Extensions”
Standard Extension:⼀般的で互いに衝突しないように設計
Non-Standard Extension:特化+他 Extension との衝突を許容
Embedded向け16本のRV32Eもある
(Standard Extension との conflict も許容)
(浮動⼩数点演算どころか掛け算・割り算もここ)
Standard Extensions
Functionality Version
M Integer Multiplication and Division 2.0
A Atomic Instructions 2.0
F Single-Precision Floating-Point 2.0
D Double-Precision Floating-Point 2.0
Q Quad-Precision Floating-Point 2.0
L Decimal Floating-Point 0.0
C Compressed Instructions 2.0
B Bit Manipulation 2.0
J Dynamically Translated Languages 2.0
T Transactional Memory 2.0
P Packed-SIMD Instructions 0.1
V Vector Operations 0.2
N User-Level Interrupts 1.1
source:
The RISC-V Instruction Set Manual, Volume I: User-Level ISA
Document Version 2.2, May 7, 2017
0.0 あたりはまだ内容空⽩
まだまだこれから、的な・・・
Base Integer ISA (I) と MAFD を合わせ
た範囲が、現在のRISC-V tool-chain(コ
ンパイラ等)のデフォルト実装ターゲット

IMAFD で合わせてGとも呼ぶ
ほぉ。トランザクショナルメモリが・・・
F16, F32, F64, F128
どこまで対応するかは実装次第
(Q=F128 はデフォルト実装ターゲットでない)
DSA のためです!
Domain
Specific
Architecture
Non-Standard Extension は何のため?
The future of computing: a conversation with John Hennessy (Google I/O '18)

https://www.youtube.com/watch?v=Azt8Nc-mtKM
(いわゆる post Mooreʼs law のおはなしです)
これこれ
そこで TPU なわけですよ
でも TPU (=DSA part) だけじゃ動かないんだな
“グーグルが外販するAI向け半導体「Edge TPU」の全貌:Google Cloud Next ʼ18”
笠原 ⼀輝, Business Insider Japan, Jul. 26, 2018,
“グーグルによると、Edge TPUは2W/4TOPS
の性能を備えている。オランダの半導体企業、
NXP社のクアッドコア Arm CPU を内蔵した
SoCなどと、「SOM」(System On Module)と
呼ばれるモジュールに実装されて提供される。”
ARM core を die 上に同居させるか否か。それが問題だ。
• CPU と DSA パートとの結合度合いによるだろう
もし密な結合を求めてダイ上に同居させるとかなり⾼額
• しかし独⾃コントローラを⼊れると
toolchain の整備、ソフトエコシステム⼤変
• RISC-V の価値が⾼いのはこのような場⾯ではないか
Edge TPU に RISC-V が良いとは⾔ってないよ。密な結合が要求されるような場合、RISC-V 良いよ、と。
デザイン⾃由度は⾼い、と。
でも作るのって⼤変じゃん?
SiFiveの挑戦
https://www.sifive.com/
“WebでSoC設計”
https://www.sifive.com/
https://www.sifive.com/
https://www.sifive.com/
まだ preview status
押せたらどのくらい時間かかるのかなあ・・・
https://www.sifive.com/
ここはまあわかる ここまでもわかる これすごくない?
量産まで前払い不要
https://www.sifive.com/
SiFive ⾃⾝による実装
• FE310 - Freedom Everywhere
TSMC 180nm, 320MHz+, RV32I+ (Fなし)
Arduino/Raspberry Pi 的な I/O つき
HiFive1 - $59 with Arduino like board
• FU540 - Freedom Unleashed
TSMC 28nm, 1.5GHz+, RV64IMAC x1 + RV64GC(IMAFD+C)x4
MMUありで Linux が動作する
HiFive Unleashed - $999 with 8GB DDR4, 1GbE board (no HDMI)
• Open Source RTL - https://github.com/sifive/freedom
https://www.sifive.com/
他にもチップはある:WD がプロセッサを発表
• RISC-V SweRV Core (32bit, 9 stages pipeline, 28nm, 1.8GHz) を発表
RISC-V によるシステムのキャッシュコヒーレンシを解決するネットワークプ
ロトコル「OmniXtend」もオープンソースで公開

http://monoist.atmarkit.co.jp/mn/articles/1812/07/news056.html
• 「今後のデータ処理に対して沸きあがってくるさまざまな課題に対処するのに、
RISC-Vが理想的なアーキテクチャになると考えている」

https://news.mynavi.jp/article/20180622-652023/
• かなり志が⾼い(ディスク内コントローラのライセンス料削減、とかを越えてる)
NXP も作ってたりして
• VEGABoard として配っていた(今も⽶国内無料?)
• RV32IMC の超低消費電⼒プロセッサ
• なんと Quad Core、でも 48MHz とか
• Cortex-M4F for App + RISC-V (mgmt?)

+ Cortex-M0+ for Radio +RISC-V (mgmt?)
https://open-isa.org/
Dec. 2018, RISC-V Summit, Santa Clara での状況報告
• “中国は現在、数百種類のRISC-V SoCや数⼗種類のコアの開発を進めているようだ”
• “Microsemi は 5 core complex を発表。同社のPolarFire FPGAに組み込む予定”
• SiFiveがNVIDIAのDLA(Deep Learning Accelerator)をベースとする組み込み推
論チップと、RISC-Vベクトル拡張・HBM2・56GbpsのSeresを使⽤するトレーニン
グチップを発表
• “Googleは、RISC-VチップのZephyr OSで動作する組み込みシステムに向けたソフ
トウェア「TensorFlow Lite」を発表”
• “韓国の新興企業Faduは、7nmプロセスを適⽤した、64ビットRISC-Vコアベースの
SSDコントローラーを発表する”
http://eetimes.jp/ee/articles/1812/10/news066.html から抜粋
それ以外の動き
• nVIDIAがRISC-Vを内部コントローラに使っていくと発表(2017.5)
• ARM が Cortex-M の IP を Xilinx 向けに無償提供 (2018.10)
• MIPS が 32/64bit ISA を無償提供 (2018.12)
• Raspberry Pi Foundation が RISC-V Foundation に join (!) (2019.1)
だけれど、とりあえず Publickey 新野さん⽈く

“まだRISC-V版のRaspberry Piを期待するのは少し時期が早いようです”
他にも
・RISC-V FPGA で並列処理の話 - https://qiita.com/zacky1972/items/05a1f4b340721605bfed
・TensorFlow LiteでのRISC-V向けビルド試⾏(1. riscv32-gccを使ったビルド試⾏→失敗)

  - http://msyksphinz.hatenablog.com/entry/2018/12/01/040000
さて
Esperanto Technologies
• 2014, founded by David Ditzel
1980 “The Case for Reduced Instruction Set Computer”,

David Patterson の co-author
Transmeta 覚えてる?
• “building the highest TeraFLOPS per Watt Machine Learning computing system”
• Open Standard ISA + DSE - Domain Specific Engine なアプローチをとる
• 実体は RISC-V による Heterogeneous computing
TSMC 7nm, 2GHz
(⼀つあるいは)少数のパワフルなコアと、⼤量の⼩形 Floating Point ⽤コアの組み合わせ
2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
Maxion(s) + Minions
• Maxion - RISC-V 最⾼のシングルスレッド性能
64K L1, 4M L2, deep pipelines, Out of Order, branch prediction, etc.
wide DRAM interface, Network on die
配置配線(フロアプラン)の画像などいろいろ情報が出てる
• Minion - エネルギー効率重視で 4096 コア(!)を積み込む
In Order, Vector extension of Floating, Tensor instruction
F16, F32, F64, F128 (Quad は RISC-V の実装ターゲットとしては⾮デフォルト)
あまり情報なし(こちらの実装はまだまだ?)
• “All plans subject to change – this is not a product announcement” ステキ(おい)
2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
汎⽤プロセッサによる AI アプローチ
• “Other companies are proposing special purpose hardware for ML using
proprietary instruction sets.”
• RISC-V なら、、、
ソフトウェアによる leveraging が期待できる
必要な命令セット拡張ができる

(SIMDエンジン - “V” Vector ISA WG の Co-Chair が Esperanto の⼈)
ハードウェア・アクセラレータを追加できる
• “General purpose cores are more desirable (望ましい) than special purpose cores
when minimal performance difference”
2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
世界はソフトウェアで出来てるんだぜ
Software Toolchain!!!!
source: SiFive presentation
at RISC-V Workshop
in Barselona, 2018 May
https://content.riscv.org/wp-content/uploads/2018/05/09.25-09.55-tate-of-RISC-V-Software-RISC-V-Workshop-at-Barcelona-May-2018-1.pdf
Software Status of RISC-V
• Linux Kernel - 4.15 Merged
• Zephyr RTOS - Upstreaming status done
• GCC - Upstream as of 7.1 release
• glibc - Upstream, RISC-V support available starting in 2.27
• binutils - Upstream, RISC-V support available starting in 2.28
• LLVM - MC changes are upstream, assembler and initial codegen patches submitted
• GDB - Bare metal support committed upstream 6 March 2018, was in 8.2 release.
• QEMU - upstream since v2.12, Fedora is able to run on QEMU!
• Imperas Multi Processor Debugger - 商⽤だ!
• Go - bootstrapped and passes tests, still missing cgo-related functionality
https://riscv.org/software-status/
etc., etc. 絶賛対応進⾏中・・・という雰囲気
この空気感、覚えてるよ僕。
キミは 1994-9 頃のあの Linux を覚えているか?
• 1993 カーネル開発者100⼈超え・Slackware ⽣まれる
• 1994 kernel 1.0 released. RedHat, SuSE 現る
• 1995 Apache web server が登場 - Linux を「使う」⽬的が⽣まれた
• 1996 kernel 2.0 released.
• このあたりで LAMP stack と⾔われ始める
• 2000 Oracle 8 for Linux 登場
• 2002 Kansai OpenSource:毎度「オープンvsプロプラ」で激論の嵐
• 2008 Android T-mobile G1 発売
RISC-V は今このへん?
Linuxの何倍速で進む?
漠然とした私の印象・気分
• 2018/3 の訪問
“最初の半年給料なし。それからでも半分
くらい。”
• 2018/10 の RISC-V Day Tokyo
いろんな⼈が来てた
• 懐かしい熱量を感じる
爆発前夜の空気感
まとめ:汎⽤プロセッサによる AI エンジンというアプローチ
• 「専⽤プロセッサとの性能差が⼩さいなら汎⽤の⽅が良いよね」
ソフトウェア(ツールチェイン)のエコシステムが期待できる
だって専⽤プロセッサ良いけど処理系・設計ツール・デバッガとかどうすんのよ?
• DSEパートは当然⾃前で作るとして
でもデータハンドリング⽤の汎⽤CPU要るぢゃん
シリコンに載せると ARM は⾼い → オープンな設計欲しい
• このアプローチが「オープン」な ISA であることは必然なのである
• とは⾔っても EDA tool ライセンス⾼いし、まだまだ壁はある(どのアプローチにもあるけどね)
その意味で Google さんとか凄いよね(ちょっと真似できない)
そこへ来てこの
爆発前夜感!
ごもっとも
てことを今⽇は伝えたかったのです
あとは脱線
Me & David Ditzel
2018. Oct., RISC-V Day Tokyo2018 Mar. visiting Esperanto
2004 Jan. visiting Transmeta

(see; Software Design, 2004.05)
Chisel - Hardware Description Language
• Floor plan に配置⾃動化が・・・
• Chisel
Scala ベースの DSL (ハードウェア記述⾔語)
RISC-V コアなどはこれで書いて GitHub へ

- Very Open Source!
RISC-V Day Tokyo 2018, 

Polychronis Xekalakis⽒のスライドから
“RISC-V コミュニティで使われる代替HDL Chisel”,

中澤慧, FPGAマガジン, No.19, 2017.10
彼らは Hardware Construction Language と表現

More Related Content

What's hot

OSC2011 Tokyo/Fall 濃いバナ(virtio)
OSC2011 Tokyo/Fall 濃いバナ(virtio)OSC2011 Tokyo/Fall 濃いバナ(virtio)
OSC2011 Tokyo/Fall 濃いバナ(virtio)Takeshi HASEGAWA
 
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
Fixstars Corporation
 
Jetson活用セミナー ROS2自律走行実現に向けて
Jetson活用セミナー ROS2自律走行実現に向けてJetson活用セミナー ROS2自律走行実現に向けて
Jetson活用セミナー ROS2自律走行実現に向けて
Fixstars Corporation
 
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
Kuniyasu Suzaki
 
RISC-Vの可能性
RISC-Vの可能性RISC-Vの可能性
RISC-Vの可能性
たけおか しょうぞう
 
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)Takeshi Yamamuro
 
いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門
Fixstars Corporation
 
Linux女子部 systemd徹底入門
Linux女子部 systemd徹底入門Linux女子部 systemd徹底入門
Linux女子部 systemd徹底入門
Etsuji Nakai
 
WindowsではじめるROSプログラミング
WindowsではじめるROSプログラミングWindowsではじめるROSプログラミング
WindowsではじめるROSプログラミング
Takayuki Goto
 
Sw技術者に送るfpga入門
Sw技術者に送るfpga入門Sw技術者に送るfpga入門
Sw技術者に送るfpga入門
直久 住川
 
Gstreamer Basics
Gstreamer BasicsGstreamer Basics
Gstreamer Basics
Seiji Hiraki
 
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
MITSUNARI Shigeo
 
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
Fixstars Corporation
 
あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)
たけおか しょうぞう
 
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
Kuniyasu Suzaki
 
GNU AGPLv3について(On GNU AGPLv3)
GNU AGPLv3について(On GNU AGPLv3)GNU AGPLv3について(On GNU AGPLv3)
GNU AGPLv3について(On GNU AGPLv3)
真行 八田
 
LakeTahoe
LakeTahoeLakeTahoe
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
akio19937
 
GoによるWebアプリ開発のキホン
GoによるWebアプリ開発のキホンGoによるWebアプリ開発のキホン
GoによるWebアプリ開発のキホン
Akihiko Horiuchi
 
高速な倍精度指数関数expの実装
高速な倍精度指数関数expの実装高速な倍精度指数関数expの実装
高速な倍精度指数関数expの実装
MITSUNARI Shigeo
 

What's hot (20)

OSC2011 Tokyo/Fall 濃いバナ(virtio)
OSC2011 Tokyo/Fall 濃いバナ(virtio)OSC2011 Tokyo/Fall 濃いバナ(virtio)
OSC2011 Tokyo/Fall 濃いバナ(virtio)
 
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
ソフト高速化の専門家が教える!AI・IoTエッジデバイスの選び方
 
Jetson活用セミナー ROS2自律走行実現に向けて
Jetson活用セミナー ROS2自律走行実現に向けてJetson活用セミナー ROS2自律走行実現に向けて
Jetson活用セミナー ROS2自律走行実現に向けて
 
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
RISC-Vのセキュリティ技術(TEE, Root of Trust, Remote Attestation)
 
RISC-Vの可能性
RISC-Vの可能性RISC-Vの可能性
RISC-Vの可能性
 
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
LLVMで遊ぶ(整数圧縮とか、x86向けの自動ベクトル化とか)
 
いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門いまさら聞けない!CUDA高速化入門
いまさら聞けない!CUDA高速化入門
 
Linux女子部 systemd徹底入門
Linux女子部 systemd徹底入門Linux女子部 systemd徹底入門
Linux女子部 systemd徹底入門
 
WindowsではじめるROSプログラミング
WindowsではじめるROSプログラミングWindowsではじめるROSプログラミング
WindowsではじめるROSプログラミング
 
Sw技術者に送るfpga入門
Sw技術者に送るfpga入門Sw技術者に送るfpga入門
Sw技術者に送るfpga入門
 
Gstreamer Basics
Gstreamer BasicsGstreamer Basics
Gstreamer Basics
 
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
深層学習フレームワークにおけるIntel CPU/富岳向け最適化法
 
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
CPU / GPU高速化セミナー!性能モデルの理論と実践:理論編
 
あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)
 
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
3種類のTEE比較(Intel SGX, ARM TrustZone, RISC-V Keystone)
 
GNU AGPLv3について(On GNU AGPLv3)
GNU AGPLv3について(On GNU AGPLv3)GNU AGPLv3について(On GNU AGPLv3)
GNU AGPLv3について(On GNU AGPLv3)
 
LakeTahoe
LakeTahoeLakeTahoe
LakeTahoe
 
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
第1回ROS勉強会発表資料 ROS+Gazeboではじめるロボットシミュレーション
 
GoによるWebアプリ開発のキホン
GoによるWebアプリ開発のキホンGoによるWebアプリ開発のキホン
GoによるWebアプリ開発のキホン
 
高速な倍精度指数関数expの実装
高速な倍精度指数関数expの実装高速な倍精度指数関数expの実装
高速な倍精度指数関数expの実装
 

Similar to RISC-V の現況と Esperanto Technologies のアプローチ

RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29
Takefumi MIYOSHI
 
ET2016 Smart Japan Alliance Llilum 161118
ET2016 Smart Japan Alliance Llilum 161118ET2016 Smart Japan Alliance Llilum 161118
ET2016 Smart Japan Alliance Llilum 161118
Atomu Hidaka
 
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
Takahiro Shinagawa
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
Nobuyuki Tamaoki
 
RISC-V User level ISA
RISC-V User level ISARISC-V User level ISA
RISC-V User level ISA
たけおか しょうぞう
 
Vitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモVitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモ
Jun Ando
 
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうか
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうかDomain Specific Architecture は今どこまで来ていて、これからどこに向かうか
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうか
Yutaka Yasuda
 
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdfクラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
FumieNakayama
 
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
Aya Tokura
 
High Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many CoreHigh Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many Core
slankdev
 
OpenStack概要
OpenStack概要OpenStack概要
OpenStack概要
Akira Yoshiyama
 
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
yaegashi
 
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMMハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
osamut
 
ポータブルコンポーネントマネージャの実装
ポータブルコンポーネントマネージャの実装ポータブルコンポーネントマネージャの実装
ポータブルコンポーネントマネージャの実装Yosuke Matsusaka
 
MaxScaleを触ってみた
MaxScaleを触ってみたMaxScaleを触ってみた
MaxScaleを触ってみた
Fujishiro Takuya
 
2015-11-26 BitVisor Summit 4(公開版)
2015-11-26 BitVisor Summit 4(公開版)2015-11-26 BitVisor Summit 4(公開版)
2015-11-26 BitVisor Summit 4(公開版)
Takahiro Shinagawa
 
仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜
VirtualTech Japan Inc.
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF
Atomu Hidaka
 
200527 ur
200527 ur200527 ur
200527 ur
NoriakiAndo
 

Similar to RISC-V の現況と Esperanto Technologies のアプローチ (20)

RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29
 
ET2016 Smart Japan Alliance Llilum 161118
ET2016 Smart Japan Alliance Llilum 161118ET2016 Smart Japan Alliance Llilum 161118
ET2016 Smart Japan Alliance Llilum 161118
 
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
2016-11-30 BitVisor Summit 5 「BitVisorの現状と今後」(公開版)
 
Openhard150114
Openhard150114Openhard150114
Openhard150114
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
 
RISC-V User level ISA
RISC-V User level ISARISC-V User level ISA
RISC-V User level ISA
 
Vitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモVitisのご紹介とAmazon EC2 F1体験デモ
Vitisのご紹介とAmazon EC2 F1体験デモ
 
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうか
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうかDomain Specific Architecture は今どこまで来ていて、これからどこに向かうか
Domain Specific Architecture は今どこまで来ていて、これからどこに向かうか
 
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdfクラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
 
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
OSC 2012 Microsoft Session [マイクロソフトの魅せるセンサー×クラウド技術]
 
High Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many CoreHigh Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many Core
 
OpenStack概要
OpenStack概要OpenStack概要
OpenStack概要
 
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
JTF2020 クロスコンパイルだけが能ではない組み込みLinuxシステムのCI/CDインフラ構築
 
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMMハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
ハイブリッドクラウド研究会_Hyper-VとSystem Center Virtual Machine Manager セッションMM
 
ポータブルコンポーネントマネージャの実装
ポータブルコンポーネントマネージャの実装ポータブルコンポーネントマネージャの実装
ポータブルコンポーネントマネージャの実装
 
MaxScaleを触ってみた
MaxScaleを触ってみたMaxScaleを触ってみた
MaxScaleを触ってみた
 
2015-11-26 BitVisor Summit 4(公開版)
2015-11-26 BitVisor Summit 4(公開版)2015-11-26 BitVisor Summit 4(公開版)
2015-11-26 BitVisor Summit 4(公開版)
 
仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF
 
200527 ur
200527 ur200527 ur
200527 ur
 

More from Yutaka Yasuda

課題提出日の傾向から見る締切の期間設定
課題提出日の傾向から見る締切の期間設定課題提出日の傾向から見る締切の期間設定
課題提出日の傾向から見る締切の期間設定
Yutaka Yasuda
 
ジェンガブロックの押しにくさを推定する試み
ジェンガブロックの押しにくさを推定する試みジェンガブロックの押しにくさを推定する試み
ジェンガブロックの押しにくさを推定する試み
Yutaka Yasuda
 
力のある情報系学生をうまく採用して欲しいのです
力のある情報系学生をうまく採用して欲しいのです力のある情報系学生をうまく採用して欲しいのです
力のある情報系学生をうまく採用して欲しいのです
Yutaka Yasuda
 
Segue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
Segue, Landscape, Touch events, Alpha and User Defined Runtime AttributesSegue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
Segue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
Yutaka Yasuda
 
教育側から見たセキュリティ人材教育の「明日はどっちだ」
教育側から見たセキュリティ人材教育の「明日はどっちだ」教育側から見たセキュリティ人材教育の「明日はどっちだ」
教育側から見たセキュリティ人材教育の「明日はどっちだ」
Yutaka Yasuda
 
セキュリティ人材育成 最初の一步
セキュリティ人材育成 最初の一步セキュリティ人材育成 最初の一步
セキュリティ人材育成 最初の一步
Yutaka Yasuda
 
京都産業大学におけるセキュリティ人材育成の考え方
京都産業大学におけるセキュリティ人材育成の考え方京都産業大学におけるセキュリティ人材育成の考え方
京都産業大学におけるセキュリティ人材育成の考え方
Yutaka Yasuda
 
Lake Crest について調べてみた
Lake Crest について調べてみたLake Crest について調べてみた
Lake Crest について調べてみた
Yutaka Yasuda
 
FPGA based 10G Performance Tester for HW OpenFlow Switch
FPGA based 10G Performance Tester for HW OpenFlow SwitchFPGA based 10G Performance Tester for HW OpenFlow Switch
FPGA based 10G Performance Tester for HW OpenFlow Switch
Yutaka Yasuda
 
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
Yutaka Yasuda
 
A proposal of the OpenFlow controller development support tool
A proposal of the OpenFlow controller development support tool A proposal of the OpenFlow controller development support tool
A proposal of the OpenFlow controller development support tool
Yutaka Yasuda
 
OpenFlowコントローラ開発支援ツールの提案
OpenFlowコントローラ開発支援ツールの提案OpenFlowコントローラ開発支援ツールの提案
OpenFlowコントローラ開発支援ツールの提案
Yutaka Yasuda
 

More from Yutaka Yasuda (12)

課題提出日の傾向から見る締切の期間設定
課題提出日の傾向から見る締切の期間設定課題提出日の傾向から見る締切の期間設定
課題提出日の傾向から見る締切の期間設定
 
ジェンガブロックの押しにくさを推定する試み
ジェンガブロックの押しにくさを推定する試みジェンガブロックの押しにくさを推定する試み
ジェンガブロックの押しにくさを推定する試み
 
力のある情報系学生をうまく採用して欲しいのです
力のある情報系学生をうまく採用して欲しいのです力のある情報系学生をうまく採用して欲しいのです
力のある情報系学生をうまく採用して欲しいのです
 
Segue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
Segue, Landscape, Touch events, Alpha and User Defined Runtime AttributesSegue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
Segue, Landscape, Touch events, Alpha and User Defined Runtime Attributes
 
教育側から見たセキュリティ人材教育の「明日はどっちだ」
教育側から見たセキュリティ人材教育の「明日はどっちだ」教育側から見たセキュリティ人材教育の「明日はどっちだ」
教育側から見たセキュリティ人材教育の「明日はどっちだ」
 
セキュリティ人材育成 最初の一步
セキュリティ人材育成 最初の一步セキュリティ人材育成 最初の一步
セキュリティ人材育成 最初の一步
 
京都産業大学におけるセキュリティ人材育成の考え方
京都産業大学におけるセキュリティ人材育成の考え方京都産業大学におけるセキュリティ人材育成の考え方
京都産業大学におけるセキュリティ人材育成の考え方
 
Lake Crest について調べてみた
Lake Crest について調べてみたLake Crest について調べてみた
Lake Crest について調べてみた
 
FPGA based 10G Performance Tester for HW OpenFlow Switch
FPGA based 10G Performance Tester for HW OpenFlow SwitchFPGA based 10G Performance Tester for HW OpenFlow Switch
FPGA based 10G Performance Tester for HW OpenFlow Switch
 
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
京都産業大学AO入試「情報」試験 – 実施報告と今後の展望
 
A proposal of the OpenFlow controller development support tool
A proposal of the OpenFlow controller development support tool A proposal of the OpenFlow controller development support tool
A proposal of the OpenFlow controller development support tool
 
OpenFlowコントローラ開発支援ツールの提案
OpenFlowコントローラ開発支援ツールの提案OpenFlowコントローラ開発支援ツールの提案
OpenFlowコントローラ開発支援ツールの提案
 

Recently uploaded

Humanoid Virtual Athletics Challenge2024 技術講習会 スライド
Humanoid Virtual Athletics Challenge2024 技術講習会 スライドHumanoid Virtual Athletics Challenge2024 技術講習会 スライド
Humanoid Virtual Athletics Challenge2024 技術講習会 スライド
tazaki1
 
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
Osaka University
 
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
嶋 是一 (Yoshikazu SHIMA)
 
iMacwoSu_Gong_de_barabaranishitaHua_.pptx
iMacwoSu_Gong_de_barabaranishitaHua_.pptxiMacwoSu_Gong_de_barabaranishitaHua_.pptx
iMacwoSu_Gong_de_barabaranishitaHua_.pptx
kitamisetagayaxxx
 
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
Yuki Miyazaki
 
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
ARISE analytics
 
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
sugiuralab
 
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
Osaka University
 
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobodyロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
azuma satoshi
 

Recently uploaded (9)

Humanoid Virtual Athletics Challenge2024 技術講習会 スライド
Humanoid Virtual Athletics Challenge2024 技術講習会 スライドHumanoid Virtual Athletics Challenge2024 技術講習会 スライド
Humanoid Virtual Athletics Challenge2024 技術講習会 スライド
 
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
生成AIがもたらすコンテンツ経済圏の新時代  The New Era of Content Economy Brought by Generative AI
 
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
「進化するアプリ イマ×ミライ ~生成AIアプリへ続く道と新時代のアプリとは~」Interop24Tokyo APPS JAPAN B1-01講演
 
iMacwoSu_Gong_de_barabaranishitaHua_.pptx
iMacwoSu_Gong_de_barabaranishitaHua_.pptxiMacwoSu_Gong_de_barabaranishitaHua_.pptx
iMacwoSu_Gong_de_barabaranishitaHua_.pptx
 
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
無形価値を守り育てる社会における「デー タ」の責務について - Atlas, Inc.
 
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
【JSAI2024】LLMエージェントの人間との対話における反芻的返答の親近感向上効果_v1.1.pdf
 
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
ヒアラブルへの入力を想定したユーザ定義型ジェスチャ調査と IMUセンサによる耳タッチジェスチャの認識
 
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
協働AIがもたらす業務効率革命 -日本企業が押さえるべきポイント-Collaborative AI Revolutionizing Busines...
 
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobodyロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
ロジックから状態を分離する技術/設計ナイト2024 by わいとん @ytnobody
 

RISC-V の現況と Esperanto Technologies のアプローチ

  • 1. RISC-V の現況と Esperanto Technologies のアプローチ Yutaka Yasuda, Kyoto Sangyo University
  • 3. RISC-V : オープンな ISA を求めて • Berkeley の研究から • ISA - Instruction Set Architecture • x86, ARM などは独占的(プロプライエタリ)なビジネスモデル • オープン・利⽤無料の ISA (32bit, 64bit, 128bit) • チップやソフトウェアを誰もが⾃由に設計・製作・販売可能 • SoCやチップは MIT, BSD lisence で提供される事が多い(GPL ではない) • Software もMIT等が多いがベースソフトウェアのライセンスに依存(QEMUはGPL) https://riscv.org/risc-v-cores/ 128bit は事実上予約のみ状態の慎重さ =誤った予測で将来の負債を作らない https://riscv.org/software-status/
  • 4. RISC-V ISA (超)概要 • Base Integer ISA 整数レジスタ32本(0番はゼロ固定) load, store, and/or/xor, add, sub, compare, branch, jump, nop 程度 • And “Optional Instruction-set Extensions” Standard Extension:⼀般的で互いに衝突しないように設計 Non-Standard Extension:特化+他 Extension との衝突を許容 Embedded向け16本のRV32Eもある (Standard Extension との conflict も許容) (浮動⼩数点演算どころか掛け算・割り算もここ)
  • 5. Standard Extensions Functionality Version M Integer Multiplication and Division 2.0 A Atomic Instructions 2.0 F Single-Precision Floating-Point 2.0 D Double-Precision Floating-Point 2.0 Q Quad-Precision Floating-Point 2.0 L Decimal Floating-Point 0.0 C Compressed Instructions 2.0 B Bit Manipulation 2.0 J Dynamically Translated Languages 2.0 T Transactional Memory 2.0 P Packed-SIMD Instructions 0.1 V Vector Operations 0.2 N User-Level Interrupts 1.1 source: The RISC-V Instruction Set Manual, Volume I: User-Level ISA Document Version 2.2, May 7, 2017 0.0 あたりはまだ内容空⽩ まだまだこれから、的な・・・ Base Integer ISA (I) と MAFD を合わせ た範囲が、現在のRISC-V tool-chain(コ ンパイラ等)のデフォルト実装ターゲット
 IMAFD で合わせてGとも呼ぶ ほぉ。トランザクショナルメモリが・・・ F16, F32, F64, F128 どこまで対応するかは実装次第 (Q=F128 はデフォルト実装ターゲットでない)
  • 7. The future of computing: a conversation with John Hennessy (Google I/O '18)
 https://www.youtube.com/watch?v=Azt8Nc-mtKM
  • 8. (いわゆる post Mooreʼs law のおはなしです)
  • 11. でも TPU (=DSA part) だけじゃ動かないんだな “グーグルが外販するAI向け半導体「Edge TPU」の全貌:Google Cloud Next ʼ18” 笠原 ⼀輝, Business Insider Japan, Jul. 26, 2018, “グーグルによると、Edge TPUは2W/4TOPS の性能を備えている。オランダの半導体企業、 NXP社のクアッドコア Arm CPU を内蔵した SoCなどと、「SOM」(System On Module)と 呼ばれるモジュールに実装されて提供される。”
  • 12. ARM core を die 上に同居させるか否か。それが問題だ。 • CPU と DSA パートとの結合度合いによるだろう もし密な結合を求めてダイ上に同居させるとかなり⾼額 • しかし独⾃コントローラを⼊れると toolchain の整備、ソフトエコシステム⼤変 • RISC-V の価値が⾼いのはこのような場⾯ではないか Edge TPU に RISC-V が良いとは⾔ってないよ。密な結合が要求されるような場合、RISC-V 良いよ、と。
  • 20. SiFive ⾃⾝による実装 • FE310 - Freedom Everywhere TSMC 180nm, 320MHz+, RV32I+ (Fなし) Arduino/Raspberry Pi 的な I/O つき HiFive1 - $59 with Arduino like board • FU540 - Freedom Unleashed TSMC 28nm, 1.5GHz+, RV64IMAC x1 + RV64GC(IMAFD+C)x4 MMUありで Linux が動作する HiFive Unleashed - $999 with 8GB DDR4, 1GbE board (no HDMI) • Open Source RTL - https://github.com/sifive/freedom https://www.sifive.com/
  • 21. 他にもチップはある:WD がプロセッサを発表 • RISC-V SweRV Core (32bit, 9 stages pipeline, 28nm, 1.8GHz) を発表 RISC-V によるシステムのキャッシュコヒーレンシを解決するネットワークプ ロトコル「OmniXtend」もオープンソースで公開
 http://monoist.atmarkit.co.jp/mn/articles/1812/07/news056.html • 「今後のデータ処理に対して沸きあがってくるさまざまな課題に対処するのに、 RISC-Vが理想的なアーキテクチャになると考えている」
 https://news.mynavi.jp/article/20180622-652023/ • かなり志が⾼い(ディスク内コントローラのライセンス料削減、とかを越えてる)
  • 22. NXP も作ってたりして • VEGABoard として配っていた(今も⽶国内無料?) • RV32IMC の超低消費電⼒プロセッサ • なんと Quad Core、でも 48MHz とか • Cortex-M4F for App + RISC-V (mgmt?)
 + Cortex-M0+ for Radio +RISC-V (mgmt?) https://open-isa.org/
  • 23. Dec. 2018, RISC-V Summit, Santa Clara での状況報告 • “中国は現在、数百種類のRISC-V SoCや数⼗種類のコアの開発を進めているようだ” • “Microsemi は 5 core complex を発表。同社のPolarFire FPGAに組み込む予定” • SiFiveがNVIDIAのDLA(Deep Learning Accelerator)をベースとする組み込み推 論チップと、RISC-Vベクトル拡張・HBM2・56GbpsのSeresを使⽤するトレーニン グチップを発表 • “Googleは、RISC-VチップのZephyr OSで動作する組み込みシステムに向けたソフ トウェア「TensorFlow Lite」を発表” • “韓国の新興企業Faduは、7nmプロセスを適⽤した、64ビットRISC-Vコアベースの SSDコントローラーを発表する” http://eetimes.jp/ee/articles/1812/10/news066.html から抜粋
  • 24. それ以外の動き • nVIDIAがRISC-Vを内部コントローラに使っていくと発表(2017.5) • ARM が Cortex-M の IP を Xilinx 向けに無償提供 (2018.10) • MIPS が 32/64bit ISA を無償提供 (2018.12) • Raspberry Pi Foundation が RISC-V Foundation に join (!) (2019.1) だけれど、とりあえず Publickey 新野さん⽈く
 “まだRISC-V版のRaspberry Piを期待するのは少し時期が早いようです” 他にも ・RISC-V FPGA で並列処理の話 - https://qiita.com/zacky1972/items/05a1f4b340721605bfed ・TensorFlow LiteでのRISC-V向けビルド試⾏(1. riscv32-gccを使ったビルド試⾏→失敗)
   - http://msyksphinz.hatenablog.com/entry/2018/12/01/040000
  • 26. Esperanto Technologies • 2014, founded by David Ditzel 1980 “The Case for Reduced Instruction Set Computer”,
 David Patterson の co-author Transmeta 覚えてる? • “building the highest TeraFLOPS per Watt Machine Learning computing system” • Open Standard ISA + DSE - Domain Specific Engine なアプローチをとる • 実体は RISC-V による Heterogeneous computing TSMC 7nm, 2GHz (⼀つあるいは)少数のパワフルなコアと、⼤量の⼩形 Floating Point ⽤コアの組み合わせ 2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
  • 27. Maxion(s) + Minions • Maxion - RISC-V 最⾼のシングルスレッド性能 64K L1, 4M L2, deep pipelines, Out of Order, branch prediction, etc. wide DRAM interface, Network on die 配置配線(フロアプラン)の画像などいろいろ情報が出てる • Minion - エネルギー効率重視で 4096 コア(!)を積み込む In Order, Vector extension of Floating, Tensor instruction F16, F32, F64, F128 (Quad は RISC-V の実装ターゲットとしては⾮デフォルト) あまり情報なし(こちらの実装はまだまだ?) • “All plans subject to change – this is not a product announcement” ステキ(おい) 2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
  • 28. 汎⽤プロセッサによる AI アプローチ • “Other companies are proposing special purpose hardware for ML using proprietary instruction sets.” • RISC-V なら、、、 ソフトウェアによる leveraging が期待できる 必要な命令セット拡張ができる
 (SIMDエンジン - “V” Vector ISA WG の Co-Chair が Esperanto の⼈) ハードウェア・アクセラレータを追加できる • “General purpose cores are more desirable (望ましい) than special purpose cores when minimal performance difference” 2018 Oct. RISC-V Day Tokyo での David Ditzel の講演から
  • 30. source: SiFive presentation at RISC-V Workshop in Barselona, 2018 May https://content.riscv.org/wp-content/uploads/2018/05/09.25-09.55-tate-of-RISC-V-Software-RISC-V-Workshop-at-Barcelona-May-2018-1.pdf
  • 31. Software Status of RISC-V • Linux Kernel - 4.15 Merged • Zephyr RTOS - Upstreaming status done • GCC - Upstream as of 7.1 release • glibc - Upstream, RISC-V support available starting in 2.27 • binutils - Upstream, RISC-V support available starting in 2.28 • LLVM - MC changes are upstream, assembler and initial codegen patches submitted • GDB - Bare metal support committed upstream 6 March 2018, was in 8.2 release. • QEMU - upstream since v2.12, Fedora is able to run on QEMU! • Imperas Multi Processor Debugger - 商⽤だ! • Go - bootstrapped and passes tests, still missing cgo-related functionality https://riscv.org/software-status/ etc., etc. 絶賛対応進⾏中・・・という雰囲気
  • 33. キミは 1994-9 頃のあの Linux を覚えているか? • 1993 カーネル開発者100⼈超え・Slackware ⽣まれる • 1994 kernel 1.0 released. RedHat, SuSE 現る • 1995 Apache web server が登場 - Linux を「使う」⽬的が⽣まれた • 1996 kernel 2.0 released. • このあたりで LAMP stack と⾔われ始める • 2000 Oracle 8 for Linux 登場 • 2002 Kansai OpenSource:毎度「オープンvsプロプラ」で激論の嵐 • 2008 Android T-mobile G1 発売 RISC-V は今このへん? Linuxの何倍速で進む?
  • 34. 漠然とした私の印象・気分 • 2018/3 の訪問 “最初の半年給料なし。それからでも半分 くらい。” • 2018/10 の RISC-V Day Tokyo いろんな⼈が来てた • 懐かしい熱量を感じる 爆発前夜の空気感
  • 35. まとめ:汎⽤プロセッサによる AI エンジンというアプローチ • 「専⽤プロセッサとの性能差が⼩さいなら汎⽤の⽅が良いよね」 ソフトウェア(ツールチェイン)のエコシステムが期待できる だって専⽤プロセッサ良いけど処理系・設計ツール・デバッガとかどうすんのよ? • DSEパートは当然⾃前で作るとして でもデータハンドリング⽤の汎⽤CPU要るぢゃん シリコンに載せると ARM は⾼い → オープンな設計欲しい • このアプローチが「オープン」な ISA であることは必然なのである • とは⾔っても EDA tool ライセンス⾼いし、まだまだ壁はある(どのアプローチにもあるけどね) その意味で Google さんとか凄いよね(ちょっと真似できない) そこへ来てこの 爆発前夜感! ごもっとも てことを今⽇は伝えたかったのです
  • 37. Me & David Ditzel 2018. Oct., RISC-V Day Tokyo2018 Mar. visiting Esperanto 2004 Jan. visiting Transmeta
 (see; Software Design, 2004.05)
  • 38. Chisel - Hardware Description Language • Floor plan に配置⾃動化が・・・ • Chisel Scala ベースの DSL (ハードウェア記述⾔語) RISC-V コアなどはこれで書いて GitHub へ
 - Very Open Source! RISC-V Day Tokyo 2018, 
 Polychronis Xekalakis⽒のスライドから “RISC-V コミュニティで使われる代替HDL Chisel”,
 中澤慧, FPGAマガジン, No.19, 2017.10 彼らは Hardware Construction Language と表現