SlideShare a Scribd company logo
1 of 2
Download to read offline
Phase Locked Loop
Authors Name: Manojit Roy
Applied Electronics & Instrumentation Engineering
Haldia Institute of Technology
Haldia, India
roy.manojit44instru@gmail.com
Authors Name: Dev Dutta Ghosh
Applied Electronics & Instrumentation Engineering
Haldia Institute of Technology
Haldia, India
Abstract— This report is based on Phase Locked Loop. A
slight overview is been implanted in this report on 2 types of
Phase Locked Loop i.e. Analog PLL and Digital PLL. The circuit
and operation of PLL is also discussed and its application in the
field of communication.
Keywords—PLL, Digital PLL, Analog PLL, VCO, DCO
I. INTRODUCTION
Modern era is of electronics. Without electronics we can’t
imagine our life. Communication is also one of the main aspect
in our life. Phase Locked Loop or PLL is a device used for
frequency and phase tracking, carrier and symbol
synchronization, demodulation, and frequency synthesis, are
fundamental building blocks in today's complex
communications systems. It is therefore essential for both
students and practicing communications engineers interested in
the design and implementation of modern communication
systems to understand and have insight into the behavior of
these important and ubiquitous devices. The PLL behaves as a
nonlinear device (at least during acquisition). PLL used as a
frequency multiplier, as a FM & AM demodulator, as a
synchronizer in communication system etc. PLL also have a
major role in space communication. There are basically analog
as well as digital PLL. But here we discuss about the analog
PLL. PLL is of immense importance in electronics
communication, it is a one of the basic building blocks in many
major communication system.
II. PHASE LOCKED LOOP
Full form of PLL is ‘Phase Locked Loop’. PLL is a circuit,
synchronizing an output signal (generated by an oscillator)
with a reference or input signal in the frequency as well as in
phase. A negative feedback control system whose operation is
closely linked to frequency modulation (FM). Automatically
adjusts the frequency, and phase of a control signal to match a
reference signal. Commonly used for carrier synchronization
and indirect frequency demodulation. A phase-locked
loop or phase lock loop (PLL) is a control system that
generates an output signal whose phase is related to the phase
of an input "reference" signal. It is an electronic circuit
consisting of a variable frequency oscillator and a phase
detector. This circuit compares the phase of the input signal
with the phase of the signal derived from its output oscillator
and adjusts the frequency of its oscillator to keep the phases
matched.
III. PLL DESIGN
A. Analog PLL
There are major 4 functional block of analog PLL. They
are Phase detector, low pass filter, VCO and a feedback path.
A phase detector is basically a comparator that compares
the input frequency f_in with feedback frequency f_out.
Comparing the input frequency & output frequency it provides
a error signal which is basically a Dc voltage. The loop is
locked when these two signals are of the same frequency and
have a fixed phase difference. Basically Phase Detector works
as an Ex-OR gate.
Low-pass filter is used to remove high frequency
components and noise from the output of the phase detector.
Low Pass Filter provides a steady dc level voltage which
becomes the input of VCO.
Voltage-controlled oscillator generates frequency
controlled by input voltage. The dc level output of a low-pass
filter is applied as control signal to the voltage-controlled
oscil¬lator (VCO). The VCO frequency is adjusted till it
becomes equal to the frequency of the input signal. During this
adjustment, PLL goes through three stages-free running,
capture and phase lock.
B. Digital PLL
Digital PLL have major 3 components. They are Phase
error detector, Digital filter and DCO.
Counter - starts counting on the positive-going edge of the
flip-flop waveform. The content of the counter, No, which is
proportional to the phase error, is applied to digital filter. The
output of the digital filter K controls the period of the DCO.
DCO - programmable divide-by-K counter.
IV. PLL OPERATION
A. Free Running Stage
When no input is applied at the phase detector, then due to
VCO, PLL works in Free Running Stage. The output
frequency of this stage is dependent on the free running
frequency of VCO.
B. Capture Stage
When a input frequency is applied at the phase detector,
then due to feedback mechanism PLL tries to track the output
with respect to input. This stage is called Capture Stage.
C. Phase Locked State
Due to feedback mechanism, the frequency comparison
stops as soon as the output frequency become equal to the
input frequency. This stage is called Phase Locked State.
ACKNOWLEDGMENT
Apart from the efforts of myself, the success of any project
depends largely on the encouragement and guidelines of many
others. I take this opportunity to express my gratitude to the
people who have been helpful in the successful completion of
this report. I want to express my gratitude to all the people
who have given their heart whelming support to finish this
report. I would like to thanks whole Applied Electronics &
Instrumentation Department for their support.
REFERENCES
[1] Mao Lai and Michino Nakano, “ Special Section on Phase Locked Loop
Techniques”, Guest Editorial, IEEE Transactions on Industrial
Electronics,Vol 43, No 6, December 1996.
[2] Guan Chyun Hsich and James C Hung, “Phase Locked Loop Techniques
–A Survey”, IEEE Transactions on Industrial Electronics, Vol 43, No 6,
December 1996.
[3] F.M Gardner, “Phase Locked Loop Techniques”, 2nd edition; New
York; Wiley 1979
[4] William C Lindsey and Chak Chie, “ A survey of Digital Phase Locked
Loops”, Procedings of the IEEE, vol 69, No 4, April 1981
[5] Silicon Laboratories, “Introduction to FPGA based ADPLL”, rev
0.13/11, An 575, 2011
[6] R.E Best, “Phase Locked loops, theory Design and Applications”, New
York; Mc Graw Hill, 1993, 2nd edition.
[7] J R Cessna, “Digital phase locked loops with sequential loop filters. A
case for coarse quantization”, In proc. Int. Telemetering Conf; vol VIII,
pp 136-148, October 1972
[8] A Yamamoto and S Mori, “ Performance of a binary quantized all digital
phase locked loop with a new class of sequential filter”, IEEE
Transactions on Information Theory, Vol IT-18, pp 488-493, July 1972
[9] Liangge Xu, Saaka Lindfors, Kari Stadius and Jussi Ryynanen, “ A
2.4GHz low power all digital phase locked loop”, IEEE Transactions on
solid state circuits, Vol 45, No 8, August 2010.

More Related Content

Viewers also liked

Review of Head and Neck Anatomy
Review of Head and Neck AnatomyReview of Head and Neck Anatomy
Review of Head and Neck AnatomyAhmad Amro Baradee
 
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련파란나라웰빙하우스
 
Comparative evaluation of natural and artificial scaffolds in
Comparative evaluation of natural and artificial scaffolds inComparative evaluation of natural and artificial scaffolds in
Comparative evaluation of natural and artificial scaffolds inRana Rana
 
UI Design Patterns for Search & Information Discovery
UI Design Patterns for Search & Information DiscoveryUI Design Patterns for Search & Information Discovery
UI Design Patterns for Search & Information DiscoveryTony Russell-Rose
 
معجم مصطلحات امراض الفم و الاسنان
معجم مصطلحات امراض الفم و  الاسنانمعجم مصطلحات امراض الفم و  الاسنان
معجم مصطلحات امراض الفم و الاسنانEntesar Mohammed
 
Principles of tooth preparation copy
Principles of tooth preparation copyPrinciples of tooth preparation copy
Principles of tooth preparation copydipalmawani91
 
Dental Implant Case of the month: June, 2014
Dental Implant Case of the month:  June, 2014Dental Implant Case of the month:  June, 2014
Dental Implant Case of the month: June, 2014dvimplant
 
Rampant caries _pedo_
Rampant caries _pedo_Rampant caries _pedo_
Rampant caries _pedo_sam bane
 
Sound Energy to Electric Energy
Sound Energy to Electric EnergySound Energy to Electric Energy
Sound Energy to Electric EnergyDebayon Saha
 
Conversion of Sound energy into electric energy
Conversion of Sound energy into electric energyConversion of Sound energy into electric energy
Conversion of Sound energy into electric energyVamshiKrishna Kuruva
 

Viewers also liked (13)

Resume_Namrata Tulsianey_p
Resume_Namrata Tulsianey_pResume_Namrata Tulsianey_p
Resume_Namrata Tulsianey_p
 
Review of Head and Neck Anatomy
Review of Head and Neck AnatomyReview of Head and Neck Anatomy
Review of Head and Neck Anatomy
 
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련
제2강 마인드맵 작성 7원칙 4월2차 강의교안_정혜련
 
Comparative evaluation of natural and artificial scaffolds in
Comparative evaluation of natural and artificial scaffolds inComparative evaluation of natural and artificial scaffolds in
Comparative evaluation of natural and artificial scaffolds in
 
UI Design Patterns for Search & Information Discovery
UI Design Patterns for Search & Information DiscoveryUI Design Patterns for Search & Information Discovery
UI Design Patterns for Search & Information Discovery
 
معجم مصطلحات امراض الفم و الاسنان
معجم مصطلحات امراض الفم و  الاسنانمعجم مصطلحات امراض الفم و  الاسنان
معجم مصطلحات امراض الفم و الاسنان
 
Principles of tooth preparation copy
Principles of tooth preparation copyPrinciples of tooth preparation copy
Principles of tooth preparation copy
 
Security Industry Overview
Security Industry OverviewSecurity Industry Overview
Security Industry Overview
 
Dental Implant Case of the month: June, 2014
Dental Implant Case of the month:  June, 2014Dental Implant Case of the month:  June, 2014
Dental Implant Case of the month: June, 2014
 
Hot Rolling Process
Hot Rolling ProcessHot Rolling Process
Hot Rolling Process
 
Rampant caries _pedo_
Rampant caries _pedo_Rampant caries _pedo_
Rampant caries _pedo_
 
Sound Energy to Electric Energy
Sound Energy to Electric EnergySound Energy to Electric Energy
Sound Energy to Electric Energy
 
Conversion of Sound energy into electric energy
Conversion of Sound energy into electric energyConversion of Sound energy into electric energy
Conversion of Sound energy into electric energy
 

Similar to Pll ieee Format

Design and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemDesign and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemIJERA Editor
 
Phase locked loop
Phase locked loop Phase locked loop
Phase locked loop imengineer
 
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology VLSICS Design
 
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYDESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYVLSICS Design
 
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYDESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYVLSICS Design
 
LIC UNIT III.pptx
LIC UNIT III.pptxLIC UNIT III.pptx
LIC UNIT III.pptxArunS118525
 
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...VLSICS Design
 
Dissertation on lv pll by dhwani sametrya
Dissertation on lv pll by dhwani sametryaDissertation on lv pll by dhwani sametrya
Dissertation on lv pll by dhwani sametryaDhwani Sametriya
 
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCODESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCOVLSICS Design
 
Presentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPresentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPrerna Singh
 
4 ijaems nov-2015-4-fsk demodulator- case study of pll application
4 ijaems nov-2015-4-fsk demodulator- case study of pll application4 ijaems nov-2015-4-fsk demodulator- case study of pll application
4 ijaems nov-2015-4-fsk demodulator- case study of pll applicationINFOGAIN PUBLICATION
 
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET Journal
 

Similar to Pll ieee Format (20)

Design and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO SystemDesign and Implementation of Digital PLL using Self Correcting DCO System
Design and Implementation of Digital PLL using Self Correcting DCO System
 
Phase locked loop
Phase locked loop Phase locked loop
Phase locked loop
 
Pll
PllPll
Pll
 
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology
Design of Low Power Phase Locked Loop (PLL) Using 45NM VLSI Technology
 
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYDESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
 
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGYDESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
DESIGN OF LOW POWER PHASE LOCKED LOOP (PLL) USING 45NM VLSI TECHNOLOGY
 
Sub157
Sub157Sub157
Sub157
 
En34855860
En34855860En34855860
En34855860
 
LIC UNIT III.pptx
LIC UNIT III.pptxLIC UNIT III.pptx
LIC UNIT III.pptx
 
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...
A High-Speed, Low Power Consumption Positive Edge Triggered D Flip-Flop for H...
 
Lf2418891896
Lf2418891896Lf2418891896
Lf2418891896
 
Dissertation on lv pll by dhwani sametrya
Dissertation on lv pll by dhwani sametryaDissertation on lv pll by dhwani sametrya
Dissertation on lv pll by dhwani sametrya
 
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCODESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
DESIGN OF DIGITAL PLL USING OPTIMIZED PHASE NOISE VCO
 
Db33621624
Db33621624Db33621624
Db33621624
 
Db33621624
Db33621624Db33621624
Db33621624
 
Presentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptxPresentation 3 PLL_Analog_digital.pptx
Presentation 3 PLL_Analog_digital.pptx
 
4 ijaems nov-2015-4-fsk demodulator- case study of pll application
4 ijaems nov-2015-4-fsk demodulator- case study of pll application4 ijaems nov-2015-4-fsk demodulator- case study of pll application
4 ijaems nov-2015-4-fsk demodulator- case study of pll application
 
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
IRJET- Design of Low Power PLL using Sleepy Inverter Five Stage Current Starv...
 
Unit 6 PLLs.pptx
Unit 6 PLLs.pptxUnit 6 PLLs.pptx
Unit 6 PLLs.pptx
 
Cellonics-Seminar-Report[1]
Cellonics-Seminar-Report[1]Cellonics-Seminar-Report[1]
Cellonics-Seminar-Report[1]
 

More from Debayon Saha

Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Debayon Saha
 
HR Activities in WIPRO
HR Activities in WIPROHR Activities in WIPRO
HR Activities in WIPRODebayon Saha
 
Evolution of Indian Cinema- From It's Birth to Present
Evolution of Indian Cinema- From It's Birth to PresentEvolution of Indian Cinema- From It's Birth to Present
Evolution of Indian Cinema- From It's Birth to PresentDebayon Saha
 
Do you believe in ghosts report
Do you believe in ghosts  reportDo you believe in ghosts  report
Do you believe in ghosts reportDebayon Saha
 
Do you believe in ghosts ppt
Do you believe in ghosts  pptDo you believe in ghosts  ppt
Do you believe in ghosts pptDebayon Saha
 

More from Debayon Saha (9)

Phase Locked Loop (PLL)
Phase Locked Loop (PLL)Phase Locked Loop (PLL)
Phase Locked Loop (PLL)
 
PLC
PLCPLC
PLC
 
Cyber crime
Cyber crimeCyber crime
Cyber crime
 
Facts controllers
Facts controllersFacts controllers
Facts controllers
 
Facts controller
Facts controllerFacts controller
Facts controller
 
HR Activities in WIPRO
HR Activities in WIPROHR Activities in WIPRO
HR Activities in WIPRO
 
Evolution of Indian Cinema- From It's Birth to Present
Evolution of Indian Cinema- From It's Birth to PresentEvolution of Indian Cinema- From It's Birth to Present
Evolution of Indian Cinema- From It's Birth to Present
 
Do you believe in ghosts report
Do you believe in ghosts  reportDo you believe in ghosts  report
Do you believe in ghosts report
 
Do you believe in ghosts ppt
Do you believe in ghosts  pptDo you believe in ghosts  ppt
Do you believe in ghosts ppt
 

Recently uploaded

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
computer application and construction management
computer application and construction managementcomputer application and construction management
computer application and construction managementMariconPadriquez1
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 

Recently uploaded (20)

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
computer application and construction management
computer application and construction managementcomputer application and construction management
computer application and construction management
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 

Pll ieee Format

  • 1. Phase Locked Loop Authors Name: Manojit Roy Applied Electronics & Instrumentation Engineering Haldia Institute of Technology Haldia, India roy.manojit44instru@gmail.com Authors Name: Dev Dutta Ghosh Applied Electronics & Instrumentation Engineering Haldia Institute of Technology Haldia, India Abstract— This report is based on Phase Locked Loop. A slight overview is been implanted in this report on 2 types of Phase Locked Loop i.e. Analog PLL and Digital PLL. The circuit and operation of PLL is also discussed and its application in the field of communication. Keywords—PLL, Digital PLL, Analog PLL, VCO, DCO I. INTRODUCTION Modern era is of electronics. Without electronics we can’t imagine our life. Communication is also one of the main aspect in our life. Phase Locked Loop or PLL is a device used for frequency and phase tracking, carrier and symbol synchronization, demodulation, and frequency synthesis, are fundamental building blocks in today's complex communications systems. It is therefore essential for both students and practicing communications engineers interested in the design and implementation of modern communication systems to understand and have insight into the behavior of these important and ubiquitous devices. The PLL behaves as a nonlinear device (at least during acquisition). PLL used as a frequency multiplier, as a FM & AM demodulator, as a synchronizer in communication system etc. PLL also have a major role in space communication. There are basically analog as well as digital PLL. But here we discuss about the analog PLL. PLL is of immense importance in electronics communication, it is a one of the basic building blocks in many major communication system. II. PHASE LOCKED LOOP Full form of PLL is ‘Phase Locked Loop’. PLL is a circuit, synchronizing an output signal (generated by an oscillator) with a reference or input signal in the frequency as well as in phase. A negative feedback control system whose operation is closely linked to frequency modulation (FM). Automatically adjusts the frequency, and phase of a control signal to match a reference signal. Commonly used for carrier synchronization and indirect frequency demodulation. A phase-locked loop or phase lock loop (PLL) is a control system that generates an output signal whose phase is related to the phase of an input "reference" signal. It is an electronic circuit consisting of a variable frequency oscillator and a phase detector. This circuit compares the phase of the input signal with the phase of the signal derived from its output oscillator and adjusts the frequency of its oscillator to keep the phases matched. III. PLL DESIGN A. Analog PLL There are major 4 functional block of analog PLL. They are Phase detector, low pass filter, VCO and a feedback path. A phase detector is basically a comparator that compares the input frequency f_in with feedback frequency f_out. Comparing the input frequency & output frequency it provides a error signal which is basically a Dc voltage. The loop is locked when these two signals are of the same frequency and have a fixed phase difference. Basically Phase Detector works as an Ex-OR gate. Low-pass filter is used to remove high frequency components and noise from the output of the phase detector. Low Pass Filter provides a steady dc level voltage which becomes the input of VCO. Voltage-controlled oscillator generates frequency controlled by input voltage. The dc level output of a low-pass filter is applied as control signal to the voltage-controlled oscil¬lator (VCO). The VCO frequency is adjusted till it becomes equal to the frequency of the input signal. During this adjustment, PLL goes through three stages-free running, capture and phase lock. B. Digital PLL Digital PLL have major 3 components. They are Phase error detector, Digital filter and DCO.
  • 2. Counter - starts counting on the positive-going edge of the flip-flop waveform. The content of the counter, No, which is proportional to the phase error, is applied to digital filter. The output of the digital filter K controls the period of the DCO. DCO - programmable divide-by-K counter. IV. PLL OPERATION A. Free Running Stage When no input is applied at the phase detector, then due to VCO, PLL works in Free Running Stage. The output frequency of this stage is dependent on the free running frequency of VCO. B. Capture Stage When a input frequency is applied at the phase detector, then due to feedback mechanism PLL tries to track the output with respect to input. This stage is called Capture Stage. C. Phase Locked State Due to feedback mechanism, the frequency comparison stops as soon as the output frequency become equal to the input frequency. This stage is called Phase Locked State. ACKNOWLEDGMENT Apart from the efforts of myself, the success of any project depends largely on the encouragement and guidelines of many others. I take this opportunity to express my gratitude to the people who have been helpful in the successful completion of this report. I want to express my gratitude to all the people who have given their heart whelming support to finish this report. I would like to thanks whole Applied Electronics & Instrumentation Department for their support. REFERENCES [1] Mao Lai and Michino Nakano, “ Special Section on Phase Locked Loop Techniques”, Guest Editorial, IEEE Transactions on Industrial Electronics,Vol 43, No 6, December 1996. [2] Guan Chyun Hsich and James C Hung, “Phase Locked Loop Techniques –A Survey”, IEEE Transactions on Industrial Electronics, Vol 43, No 6, December 1996. [3] F.M Gardner, “Phase Locked Loop Techniques”, 2nd edition; New York; Wiley 1979 [4] William C Lindsey and Chak Chie, “ A survey of Digital Phase Locked Loops”, Procedings of the IEEE, vol 69, No 4, April 1981 [5] Silicon Laboratories, “Introduction to FPGA based ADPLL”, rev 0.13/11, An 575, 2011 [6] R.E Best, “Phase Locked loops, theory Design and Applications”, New York; Mc Graw Hill, 1993, 2nd edition. [7] J R Cessna, “Digital phase locked loops with sequential loop filters. A case for coarse quantization”, In proc. Int. Telemetering Conf; vol VIII, pp 136-148, October 1972 [8] A Yamamoto and S Mori, “ Performance of a binary quantized all digital phase locked loop with a new class of sequential filter”, IEEE Transactions on Information Theory, Vol IT-18, pp 488-493, July 1972 [9] Liangge Xu, Saaka Lindfors, Kari Stadius and Jussi Ryynanen, “ A 2.4GHz low power all digital phase locked loop”, IEEE Transactions on solid state circuits, Vol 45, No 8, August 2010.