Display devices have always been an integral part of the PC experience.
Whether it is in the form of a desktop monitor, a notebook’s embedded
panel, or the touch screen of a PC tablet, display devices play a vital role in
defining the user’s visual experience.
The new display technologies integrated exclusively in the AMD Radeon™
HD 7700-7900 Series are designed to deliver new and unique experiences
with impressive performance in these different technologies.
This paper looks at the challenges of HD playback on the PC and the dedicated set of technologies implemented by AMD Radeon graphics processing units (GPUs) that provide efficient and flexible playback of this content.
This document provides an overview of processor advancements for embedded applications from AMD, focusing on their AMD Embedded R-Series and G-Series APU platforms. It discusses how CPUs and GPUs have transitioned to multi-core architectures for improved performance and efficiency. The document highlights several partner boards that combine AMD Embedded APUs with additional I/O functionality for applications like digital signage, gaming, and industrial controls. It concludes that as new innovations are introduced, embedded designers will create new opportunities using technologies like OpenCL.
Race to Reality: The Next Billion-People Market OpportunityAMD
On September 3rd, 2016 at IFA Berlin, Mark Papermaster, Chief Technology Officer AMD provided unique insights into the new era of Virtual Reality: "Race to Reality - The Next Billion-People Market Opportunity”.
AMD's Lisa Su, Senior Vice President and General Manager, Global Business Units kicks off CES 2013 with a press conference at the AMD Experience Zone on Consumers and The World of Surround Computing.
AMD 2014 Low Power_Mainstream Mobile APUs SecurityAMD
The document discusses AMD's 2014 low-power and mainstream mobile APUs and their focus on security. It outlines industry trends driving the need for hardware-based security like mobility, consumerization of IT, cloud computing, and advanced persistent threats. AMD's strategy is described as leveraging open standards on x86 processors and using an ARM-based security processor. The APUs are said to provide comprehensive hardware-based security through technologies like isolation, a trusted execution environment, and integration of an ARM core for the platform security processor.
This document is a slide deck from an AMD press conference at CES 2014. It summarizes AMD's new A-series "Kaveri" APU which features HSA and TrueAudio technology. The APU provides improved CPU and GPU performance over previous generations. AMD also discusses its leadership in graphics and gaming through its Radeon products and Mantle API. The company outlines its vision for surround computing experiences and shows demos that utilize the capabilities of its APUs.
AMD Eyefinity technology allows users to connect multiple monitors to a single graphics card. It supports up to 6 displays on some cards through the use of DisplayPort connections. This provides benefits like increased productivity through a massive desktop workspace and more immersive gaming. It can boost efficiency in fields like finance by letting users view more data at once without scrolling. In medicine it enables viewing patient scans across multiple high-resolution displays simultaneously.
The Ninja-2 is a field recorder, monitor and playback device that records uncompressed 10-bit HD/SD video from DSLR and HDMI cameras to ProRes or DNxHD codecs on removable HDDs or SSDs. It features SmartLog to mark and tag footage, SmartMonitor for focus and exposure tools, and SmartControl for camera triggering. The compact device allows high quality recording, monitoring and basic editing in the field or on the move.
This paper looks at the challenges of HD playback on the PC and the dedicated set of technologies implemented by AMD Radeon graphics processing units (GPUs) that provide efficient and flexible playback of this content.
This document provides an overview of processor advancements for embedded applications from AMD, focusing on their AMD Embedded R-Series and G-Series APU platforms. It discusses how CPUs and GPUs have transitioned to multi-core architectures for improved performance and efficiency. The document highlights several partner boards that combine AMD Embedded APUs with additional I/O functionality for applications like digital signage, gaming, and industrial controls. It concludes that as new innovations are introduced, embedded designers will create new opportunities using technologies like OpenCL.
Race to Reality: The Next Billion-People Market OpportunityAMD
On September 3rd, 2016 at IFA Berlin, Mark Papermaster, Chief Technology Officer AMD provided unique insights into the new era of Virtual Reality: "Race to Reality - The Next Billion-People Market Opportunity”.
AMD's Lisa Su, Senior Vice President and General Manager, Global Business Units kicks off CES 2013 with a press conference at the AMD Experience Zone on Consumers and The World of Surround Computing.
AMD 2014 Low Power_Mainstream Mobile APUs SecurityAMD
The document discusses AMD's 2014 low-power and mainstream mobile APUs and their focus on security. It outlines industry trends driving the need for hardware-based security like mobility, consumerization of IT, cloud computing, and advanced persistent threats. AMD's strategy is described as leveraging open standards on x86 processors and using an ARM-based security processor. The APUs are said to provide comprehensive hardware-based security through technologies like isolation, a trusted execution environment, and integration of an ARM core for the platform security processor.
This document is a slide deck from an AMD press conference at CES 2014. It summarizes AMD's new A-series "Kaveri" APU which features HSA and TrueAudio technology. The APU provides improved CPU and GPU performance over previous generations. AMD also discusses its leadership in graphics and gaming through its Radeon products and Mantle API. The company outlines its vision for surround computing experiences and shows demos that utilize the capabilities of its APUs.
AMD Eyefinity technology allows users to connect multiple monitors to a single graphics card. It supports up to 6 displays on some cards through the use of DisplayPort connections. This provides benefits like increased productivity through a massive desktop workspace and more immersive gaming. It can boost efficiency in fields like finance by letting users view more data at once without scrolling. In medicine it enables viewing patient scans across multiple high-resolution displays simultaneously.
The Ninja-2 is a field recorder, monitor and playback device that records uncompressed 10-bit HD/SD video from DSLR and HDMI cameras to ProRes or DNxHD codecs on removable HDDs or SSDs. It features SmartLog to mark and tag footage, SmartMonitor for focus and exposure tools, and SmartControl for camera triggering. The compact device allows high quality recording, monitoring and basic editing in the field or on the move.
AMD 2014 A Series and Performance Mobile Accelerated Processing Units (Codena...AMD
The document discusses AMD's 2014 A-Series and Performance Mobile APUs codenamed "Kaveri". Key points:
- Kaveri marks the debut of AMD's Heterogeneous System Architecture (HSA) and Graphics Core Next (GCN) Architecture for mobile devices.
- It features up to 12 compute cores (4 CPU cores and 8 GPU cores) and is AMD's most advanced APU to date, delivering up to 50% more GPU performance.
- Kaveri's revolutionary architecture unlocks all the APU's power through features like HSA and makes it the first fully OpenCL 2.0 capable chip.
This document provides an overview and roadmap of AMD's 2014 mobility APU lineup. It discusses the evolving client market trends toward convergence devices. AMD's focus is on bridging tablets and notebooks with performance and productivity APUs across a range of form factors. New additions to the 2014 roadmap include the Mullins and Beema APUs, designed for fanless tablets/2-in-1s and mainstream notebooks respectively, offering over 2x the performance per watt of previous generations. New features highlighted include AMD DockPort technology, Microsoft InstantGo, and an AMD-designed platform security processor.
This document provides a tour of an Acer Aspire notebook, identifying 15 major external features on the front of the notebook like the screen, keyboard, touchpad, speakers, camera, and buttons; it also lists the functions of the status indicators and easy-launch buttons on the front of the notebook.
Service Manual Acer Aspire-4720-4720 g-4720z-4320Dane Morais
This document provides a tour of the front and side views of an Acer notebook, labeling each part with a number and describing its function, including the built-in camera, status indicators, speakers, keyboard, touchpad, scroll button, click buttons, microphone, display screen, programmable buttons, power button, and indicators for wireless communication and Bluetooth.
AMD held its Computex 2015 event to announce new products and technologies. Key announcements included:
- The launch of AMD's 6th generation A-Series processors featuring new "Excavator" cores and graphics cores for improved performance in notebooks priced between $400-$700.
- The new processors support Windows 10 features and provide a premium gaming experience with DirectX 12 support. They also feature the first HEVC hardware decoding for mainstream notebooks.
- AMD is bringing graphics cards with high bandwidth memory (HBM) technology to market, the first GPUs to use this technology.
- AMD powers systems from numerous partners and the new Apple MacBook Pro with discrete-class Radeon graphics
Qualcomm® Snapdragon™ processors, a product of Qualcomm Technologies, Inc., boast a long list of technologies, from the CPU and GPU, to audio, video, display, networking and much more. In this session, you’ll learn how to take advantage of these features and technologies to create the best gaming experiences, including all the available tools.
Watch this presentation on YouTube: https://www.youtube.com/watch?v=NhbZK_5na7U&list=PLxeazpXYyqtNm2EnCbfSzy7aKOkHjiaSi&index=31
Learn more about developing mobile apps for devices powered by Snapdragon processors: https://developer.qualcomm.com/mobile-development/maximize-hardware/mobile-gaming-graphics-adreno
The document summarizes the features and capabilities of the Atomos Samurai Blade field recorder and monitor. It can record uncompressed 10-bit HD/SD video from HD-SDI cameras to ProRes or DNxHD codecs on HDD/SSD. It has waveform monitoring, focus peaking, and tagging features to help evaluate footage on set. The recorded files are ready for editing in popular NLE software without transcoding.
The document describes the Dell Latitude 5000 Series laptops. Key features include thinner and lighter designs, longer battery life enabled by Intel 4th Generation Core processors, optional touchscreens, and reliable and durable construction. The laptops also offer strong security features like encryption and authentication options. They are designed to be manageable with tools that integrate with Microsoft System Center and Dell KACE for easy deployment and maintenance of large fleets.
The document describes the HP Mini laptop designed by industrial designer Tord Boontje. It has a unique 3D printed design depicting endangered animals and was created with a focus on environmental sustainability. The laptop provides fast internet access, easy file syncing and customization options inspired by Boontje's work.
The document is a datasheet for the HP ProBook 430 G8 Notebook PC that provides specifications and details. Some key points:
- It has an 11th Gen Intel Core i7, i5, or i3 processor, up to 32GB RAM, and 512GB PCIe NVMe SSD storage.
- Display options include a 13.3" FHD or HD IPS touch or non-touch screen.
- Connectivity includes WiFi 6, Bluetooth, USB Type-C, USB Type-A, HDMI, and microSD ports.
- Security features include a fingerprint sensor, HP Sure Start, Sure Sense, and an optional HP Sure View privacy screen.
- The notebook has
Jeff Rous from Intel and Niklas Smedberg from Epic Games discussed optimizing the Unreal Engine 4 (UE4) game engine for Intel processors. They described measuring performance using Intel's Graphics Performance Analyzers, common pain points like memory bandwidth and dense geometry on Intel graphics, and shader optimizations. The presentation also covered optimizing UE4 for DirectX 12, adding support for Android x86/x64, and announcing fast ASTC texture compression support in UE4.
The document discusses the history and components of video cards. It describes how video cards have evolved from the first card in 1981 with 4KB of memory to modern cards with over 1GB of memory. Key components discussed include the graphics processing unit (GPU), video memory, and RAMDAC. Common connection standards for video outputs are also outlined such as VGA, DVI, HDMI, and DisplayPort.
Please talk to Cherri International about the newly released HP-Z1e All in One Workstation. This 27" Xeon powered All in One sets standards previously unseen. The 27 Monitor boasts 1.2 Billion colours.
The HP-Z1e has been built for the Professional who requires lightning speed with all the latest technology. Available in SATA or SSD Hard Drive configuration. Windows 7 Professional (64 Bit). Drop shipment available. Please go to www.cherricomputers.com
http://www.youtube.com/watch?v=NwHLxM-22xg
Latitude 14-7420-2-in-1-laptop owners-manual2-en-usLAPTOP TRẦN PHÁT
Dell Latitude 7420 được Dell ra mắt cách đây không lâu, máy có màn hình 14 inch cho đối tượng thích sự cân bằng giữa 13 và 15 inch, cùng với đó là hiệu năng được đánh giá cao nhờ khả năng tối ưu của Dell và chip Intel thế hệ 11
Nguồn: https://laptops.vn/san-pham/dell-latitude-7420/
The document introduces the Radeon HD7800 series graphics cards, which feature an advanced graphics core next architecture and AMD technologies like Eyefinity 2.0, PowerTune, and ZeroCore Power. Benchmark results show the HD7870 provides up to 12% faster performance than the GTX570 and the HD7850 provides up to 14% faster performance than the GTX560Ti, with both cards offering improved power efficiency over prior generations. Key specifications of the HD7870 and HD7850 models are also listed.
Dell Precision 3540: Laptop Văn Phòng cấu hình caoLAPTOP TRẦN PHÁT
Dell Inspiron 3540 là dòng văn phòng sở hữu thiết kế thời thượng, cấu hình mạnh mẽ. Chiếc máy được trang bị bộ vi xử lýIntel® Core™ i5-8365U Processor 6M Cache, up to 4.10 GHz giúp tăng tốc quá trình hoạt động của hệ thống; phù hợp để xử lý đồ họa và các tác vụ nặng.
Nguồn: https://laptops.vn/san-pham/dell-precision-3540/
Ultra HD Video Scaling: Low-Power HW FF vs. CNN-based Super-ResolutionIntel® Software
The visual computing world is moving to an exciting technological era of ultra HD (UHD) and wide-gamut deep colors (WCG). The new Gen9 graphics engine in the 6th generation Intel® Core™ processors is the developers’ platform choice for creating visual excellence in 4K and deep colors. The Gen9 processor graphics offers attractive solutions for high-quality and low-power video scaling that handle UHD and WCG. First, we introduce a hardware fixed-function scaler inside the new SFC (scaling and format conversion) module that provides high quality scaling in low-power platforms. Second, we present a super-resolution scaling solution based on convolutional neural network that can be implemented via OpenCL™ running on the execution units (EUs). We discuss the merits of each solution in different user environments
Như vậy là sau những hình ảnh leak ra từ Lenovo thì họ đã chính thức giới thiệu dòng laptop doanh nhân cao cấp của mình - Thinkpad X1 Carbon Gen 9. Tìm hiểu chi tiết về mẫu laptop này trước khi quyết định ngay nhé.
Nguồn: https://laptops.vn/san-pham/lenovo-thinkpad-x1-gen-9/
The document introduces Intel GPA (Graphics Performance Analyzers), a tool that can optimize PC, mobile, and Ubuntu games. It summarizes the key features of Intel GPA, including its system analyzer, frame analyzer, and platform analyzer. The system analyzer monitors real-time metrics while gaming, the frame analyzer examines individual frames to find bottlenecks, and the platform analyzer shows CPU and GPU activity. Intel GPA allows optimizing games without code changes through experiments and analysis tools.
Qualcomm Snapdragon 820 Product and InfographicsMark Shedd
The Snapdragon 820 processor provides all-day performance with an integrated architecture allowing seamless cooperation between its GPU, ISP, DSP and other components to create immersive experiences. It features a customized Kryo CPU for improved performance and efficiency compared to previous generations, as well as an enhanced Spectra ISP and Hexagon 680 DSP for advanced imaging, computer vision and sensor processing capabilities. The X12 LTE modem supports high download speeds up to 600Mbps for fast connectivity.
The document provides an overview of the DisplayPort interface standard, which is a digital display interface that supports higher display quality and additional features. DisplayPort overcomes limitations of other interfaces like VGA, DVI, LVDS, and HDMI by providing scalable bandwidth, simplified design, smaller connectors, and content protection features. S3 Graphics Chrome 400/500 series GPUs support DisplayPort to provide advanced visual experiences.
The NVIDIA Quadro K600 graphics board offers strong performance at an affordable price in a low-profile form factor. It contains 1GB of GPU memory, 192 CUDA cores, and supports Shader Model 5. Designed for professional workstations, NVIDIA Quadro GPUs are used in over 200 professional applications across industries like manufacturing, media, sciences, and energy to help professionals realize ambitious visions and get results to market faster.
AMD 2014 A Series and Performance Mobile Accelerated Processing Units (Codena...AMD
The document discusses AMD's 2014 A-Series and Performance Mobile APUs codenamed "Kaveri". Key points:
- Kaveri marks the debut of AMD's Heterogeneous System Architecture (HSA) and Graphics Core Next (GCN) Architecture for mobile devices.
- It features up to 12 compute cores (4 CPU cores and 8 GPU cores) and is AMD's most advanced APU to date, delivering up to 50% more GPU performance.
- Kaveri's revolutionary architecture unlocks all the APU's power through features like HSA and makes it the first fully OpenCL 2.0 capable chip.
This document provides an overview and roadmap of AMD's 2014 mobility APU lineup. It discusses the evolving client market trends toward convergence devices. AMD's focus is on bridging tablets and notebooks with performance and productivity APUs across a range of form factors. New additions to the 2014 roadmap include the Mullins and Beema APUs, designed for fanless tablets/2-in-1s and mainstream notebooks respectively, offering over 2x the performance per watt of previous generations. New features highlighted include AMD DockPort technology, Microsoft InstantGo, and an AMD-designed platform security processor.
This document provides a tour of an Acer Aspire notebook, identifying 15 major external features on the front of the notebook like the screen, keyboard, touchpad, speakers, camera, and buttons; it also lists the functions of the status indicators and easy-launch buttons on the front of the notebook.
Service Manual Acer Aspire-4720-4720 g-4720z-4320Dane Morais
This document provides a tour of the front and side views of an Acer notebook, labeling each part with a number and describing its function, including the built-in camera, status indicators, speakers, keyboard, touchpad, scroll button, click buttons, microphone, display screen, programmable buttons, power button, and indicators for wireless communication and Bluetooth.
AMD held its Computex 2015 event to announce new products and technologies. Key announcements included:
- The launch of AMD's 6th generation A-Series processors featuring new "Excavator" cores and graphics cores for improved performance in notebooks priced between $400-$700.
- The new processors support Windows 10 features and provide a premium gaming experience with DirectX 12 support. They also feature the first HEVC hardware decoding for mainstream notebooks.
- AMD is bringing graphics cards with high bandwidth memory (HBM) technology to market, the first GPUs to use this technology.
- AMD powers systems from numerous partners and the new Apple MacBook Pro with discrete-class Radeon graphics
Qualcomm® Snapdragon™ processors, a product of Qualcomm Technologies, Inc., boast a long list of technologies, from the CPU and GPU, to audio, video, display, networking and much more. In this session, you’ll learn how to take advantage of these features and technologies to create the best gaming experiences, including all the available tools.
Watch this presentation on YouTube: https://www.youtube.com/watch?v=NhbZK_5na7U&list=PLxeazpXYyqtNm2EnCbfSzy7aKOkHjiaSi&index=31
Learn more about developing mobile apps for devices powered by Snapdragon processors: https://developer.qualcomm.com/mobile-development/maximize-hardware/mobile-gaming-graphics-adreno
The document summarizes the features and capabilities of the Atomos Samurai Blade field recorder and monitor. It can record uncompressed 10-bit HD/SD video from HD-SDI cameras to ProRes or DNxHD codecs on HDD/SSD. It has waveform monitoring, focus peaking, and tagging features to help evaluate footage on set. The recorded files are ready for editing in popular NLE software without transcoding.
The document describes the Dell Latitude 5000 Series laptops. Key features include thinner and lighter designs, longer battery life enabled by Intel 4th Generation Core processors, optional touchscreens, and reliable and durable construction. The laptops also offer strong security features like encryption and authentication options. They are designed to be manageable with tools that integrate with Microsoft System Center and Dell KACE for easy deployment and maintenance of large fleets.
The document describes the HP Mini laptop designed by industrial designer Tord Boontje. It has a unique 3D printed design depicting endangered animals and was created with a focus on environmental sustainability. The laptop provides fast internet access, easy file syncing and customization options inspired by Boontje's work.
The document is a datasheet for the HP ProBook 430 G8 Notebook PC that provides specifications and details. Some key points:
- It has an 11th Gen Intel Core i7, i5, or i3 processor, up to 32GB RAM, and 512GB PCIe NVMe SSD storage.
- Display options include a 13.3" FHD or HD IPS touch or non-touch screen.
- Connectivity includes WiFi 6, Bluetooth, USB Type-C, USB Type-A, HDMI, and microSD ports.
- Security features include a fingerprint sensor, HP Sure Start, Sure Sense, and an optional HP Sure View privacy screen.
- The notebook has
Jeff Rous from Intel and Niklas Smedberg from Epic Games discussed optimizing the Unreal Engine 4 (UE4) game engine for Intel processors. They described measuring performance using Intel's Graphics Performance Analyzers, common pain points like memory bandwidth and dense geometry on Intel graphics, and shader optimizations. The presentation also covered optimizing UE4 for DirectX 12, adding support for Android x86/x64, and announcing fast ASTC texture compression support in UE4.
The document discusses the history and components of video cards. It describes how video cards have evolved from the first card in 1981 with 4KB of memory to modern cards with over 1GB of memory. Key components discussed include the graphics processing unit (GPU), video memory, and RAMDAC. Common connection standards for video outputs are also outlined such as VGA, DVI, HDMI, and DisplayPort.
Please talk to Cherri International about the newly released HP-Z1e All in One Workstation. This 27" Xeon powered All in One sets standards previously unseen. The 27 Monitor boasts 1.2 Billion colours.
The HP-Z1e has been built for the Professional who requires lightning speed with all the latest technology. Available in SATA or SSD Hard Drive configuration. Windows 7 Professional (64 Bit). Drop shipment available. Please go to www.cherricomputers.com
http://www.youtube.com/watch?v=NwHLxM-22xg
Latitude 14-7420-2-in-1-laptop owners-manual2-en-usLAPTOP TRẦN PHÁT
Dell Latitude 7420 được Dell ra mắt cách đây không lâu, máy có màn hình 14 inch cho đối tượng thích sự cân bằng giữa 13 và 15 inch, cùng với đó là hiệu năng được đánh giá cao nhờ khả năng tối ưu của Dell và chip Intel thế hệ 11
Nguồn: https://laptops.vn/san-pham/dell-latitude-7420/
The document introduces the Radeon HD7800 series graphics cards, which feature an advanced graphics core next architecture and AMD technologies like Eyefinity 2.0, PowerTune, and ZeroCore Power. Benchmark results show the HD7870 provides up to 12% faster performance than the GTX570 and the HD7850 provides up to 14% faster performance than the GTX560Ti, with both cards offering improved power efficiency over prior generations. Key specifications of the HD7870 and HD7850 models are also listed.
Dell Precision 3540: Laptop Văn Phòng cấu hình caoLAPTOP TRẦN PHÁT
Dell Inspiron 3540 là dòng văn phòng sở hữu thiết kế thời thượng, cấu hình mạnh mẽ. Chiếc máy được trang bị bộ vi xử lýIntel® Core™ i5-8365U Processor 6M Cache, up to 4.10 GHz giúp tăng tốc quá trình hoạt động của hệ thống; phù hợp để xử lý đồ họa và các tác vụ nặng.
Nguồn: https://laptops.vn/san-pham/dell-precision-3540/
Ultra HD Video Scaling: Low-Power HW FF vs. CNN-based Super-ResolutionIntel® Software
The visual computing world is moving to an exciting technological era of ultra HD (UHD) and wide-gamut deep colors (WCG). The new Gen9 graphics engine in the 6th generation Intel® Core™ processors is the developers’ platform choice for creating visual excellence in 4K and deep colors. The Gen9 processor graphics offers attractive solutions for high-quality and low-power video scaling that handle UHD and WCG. First, we introduce a hardware fixed-function scaler inside the new SFC (scaling and format conversion) module that provides high quality scaling in low-power platforms. Second, we present a super-resolution scaling solution based on convolutional neural network that can be implemented via OpenCL™ running on the execution units (EUs). We discuss the merits of each solution in different user environments
Như vậy là sau những hình ảnh leak ra từ Lenovo thì họ đã chính thức giới thiệu dòng laptop doanh nhân cao cấp của mình - Thinkpad X1 Carbon Gen 9. Tìm hiểu chi tiết về mẫu laptop này trước khi quyết định ngay nhé.
Nguồn: https://laptops.vn/san-pham/lenovo-thinkpad-x1-gen-9/
The document introduces Intel GPA (Graphics Performance Analyzers), a tool that can optimize PC, mobile, and Ubuntu games. It summarizes the key features of Intel GPA, including its system analyzer, frame analyzer, and platform analyzer. The system analyzer monitors real-time metrics while gaming, the frame analyzer examines individual frames to find bottlenecks, and the platform analyzer shows CPU and GPU activity. Intel GPA allows optimizing games without code changes through experiments and analysis tools.
Qualcomm Snapdragon 820 Product and InfographicsMark Shedd
The Snapdragon 820 processor provides all-day performance with an integrated architecture allowing seamless cooperation between its GPU, ISP, DSP and other components to create immersive experiences. It features a customized Kryo CPU for improved performance and efficiency compared to previous generations, as well as an enhanced Spectra ISP and Hexagon 680 DSP for advanced imaging, computer vision and sensor processing capabilities. The X12 LTE modem supports high download speeds up to 600Mbps for fast connectivity.
The document provides an overview of the DisplayPort interface standard, which is a digital display interface that supports higher display quality and additional features. DisplayPort overcomes limitations of other interfaces like VGA, DVI, LVDS, and HDMI by providing scalable bandwidth, simplified design, smaller connectors, and content protection features. S3 Graphics Chrome 400/500 series GPUs support DisplayPort to provide advanced visual experiences.
The NVIDIA Quadro K600 graphics board offers strong performance at an affordable price in a low-profile form factor. It contains 1GB of GPU memory, 192 CUDA cores, and supports Shader Model 5. Designed for professional workstations, NVIDIA Quadro GPUs are used in over 200 professional applications across industries like manufacturing, media, sciences, and energy to help professionals realize ambitious visions and get results to market faster.
- The document discusses AMD's presentations on January 19th and 20th about the future of GPUs and accelerated computing.
- It provides information on DirectX 11 features like tessellation, multi-threading, and order independent transparency.
- Examples are given showing the improvements these features provide for graphics like shadows, anti-aliasing, and depth of field effects.
- The document also discusses how GPUs are focused on gaming, entertainment and productivity and how technologies like AMD Eyefinity and AMD Stream can improve the user experience.
Simple guide to understanding customers needs and positioning the best Nvidia solution, This is an easy-to-use Sales Guide that we provide to our partners.
ATI Eyefinity is a multi-display technology developed by AMD that allows a single GPU to support up to six independent display outputs simultaneously. Using DisplayPort as the connectivity standard, Eyefinity will be supported on entry-level to high-end AMD graphics cards. Entry and mid-level cards will typically support up to three monitors, while future high-end cards may support up to six monitors. Eyefinity provides benefits for gaming, productivity, and commercial/workstation uses by allowing massive desktop workspace across multiple displays.
4K@60Hz(4:4:4) DisplayPort EDID Feeder
with DisplayPort & HDMI output Splitter Function
▶Highlight Features
✔Emulator Maximum Supports up to 4K@60Hz(4:4:4)Resolution
✔Built-in HDCP Engine
✔Additional Splitter Function
✔Incorporate EDID and HDCP Handling Procedures for Continuous Video Output
✔Auto-Configuring EDID from The Connecting Display, Easy and Ready to Go
RemoteFX - Rich End User Experience for VDI and Remote DesktopsAmit Gatenyo
RemoteFX provides a rich user experience for virtual desktops and remote desktop sessions. It allows full fidelity rendering of graphics and media on both low-cost and advanced client devices. RemoteFX uses hardware-accelerated graphics processing and advanced codecs to deliver a local-like experience remotely. It is compatible with VDI, session-based desktops, and supports host- or client-side rendering depending on configuration and network conditions. RemoteFX improves user productivity by enabling access to any screen content from any device anywhere.
The document discusses video card adapters, including what they are, common manufacturers, and key specifications. It provides information on:
- Video card adapters generate and display output to computer monitors. Common manufacturers include NVIDIA, AMD, and others.
- Adapters are classified by the type of slot they use - PCI, AGP, or PCI-Express. They also have different port types like VGA, DVI, HDMI, and S-Video to connect to displays.
- Key adapter specifications include the GPU (graphics processing unit), amount and type of VRAM (video memory), and RAM bus width which impacts performance.
HDMI (High-Definition Multimedia Interface) is a digital audio/video interface that transmits uncompressed video and compressed/uncompressed digital audio through a single cable. It was developed by HDMI Licensing to meet increased demands for digital connections. HDMI supports standard, enhanced, or high-definition video, plus multi-channel digital audio, all through a single cable. It has become the worldwide standard cable for digital TVs and is used to connect devices like Blu-ray players, game consoles, computers, smartphones and more to displays.
Graphics cards are hardware that produce images on a monitor by converting data into signals. They are used for animation, gaming, design, effects creation, and other tasks requiring fast rendering and high resolution. Over time, graphics cards have provided more colors, higher resolution, faster interfaces and more memory. They work by taking data from the CPU and determining what to do with each pixel to create an image. Key components include the GPU, video memory, and output. Graphics cards are better for gaming and 3D graphics than integrated graphics processors.
CE-4028, Miracast with AMD Wireless Display technology – Kickass gaming and o...AMD Developer Central
Presentation CE-4028, Miracast with AMD Wireless Display technology – Kickass gaming and other usages, by Daryl-Sartain at the AMD Developer Summit (APU13) November 11-13, 2013.
Galaxy has introduced a new graphics card, the GeForce GTX570 MDT x4, which features four digital video outputs capable of 1080p resolution. This allows the card to power up to four monitors simultaneously. The card is aimed at users who want increased desktop workspace for multitasking or gaming across multiple screens. It provides improved performance and efficiency over traditional single-monitor setups. Galaxy also released software to easily configure different display modes for gaming, productivity, and entertainment applications.
MIPS Technologies is a leading provider of processors for connected digital home devices. The document discusses market trends driving increased connectivity and capabilities in digital home devices. It recommends hardware specifications for MIPS processors to support 1080p video playback, 3D graphics, and future platforms like Android. Key partnerships are highlighted to help customers integrate complementary technologies and accelerate development.
Learn how Crestron’s DigitalMedia™ product family not only provides solutions to HDMI challenges for professional AV systems, but also integrates analog and digital audio/video formats into a complete systems solution - including HDMI and control. The world is going digital, so come discover the only solution that solves all your needs…Crestron DigitalMedia™ .
This document summarizes the UNV Decoder & Controller solution for CCTV and commercial displays. It describes the various hardware components including economic decoders, high definition decoders, video wall controllers, input/output cards, network keyboards, and accessories. It also provides information on the decoding capabilities, screen control functions, topology configurations, and includes an example successful case installation.
The VS-81H is an 8x1 HDMI switcher that reclocks and equalizes HDMI signals up to 2.25Gbps as it switches between its 8 inputs to a single HDMI output. It supports HDMI 1.3a and HDCP, and can handle resolutions up to 1080p and deep color. The switcher has front panel and remote control options, and uses Kramer's signal rebuilding technology to send signals over longer distances.
This document summarizes the features and specifications of a video capture card. It can capture high definition video signals up to 1080p at 30 Hz from DVI, VGA, HDMI, and component inputs. It has a small form factor of 100x106mm and supports Windows operating systems. It provides high quality video and audio capture capabilities for applications such as education, conferencing, security, and medical imaging.
The AMD Embedded G-Series platform is an integrated circuit that combines a low-power CPU and discrete-level GPU into a single chip called an Accelerated Processing Unit (APU). It provides high-performance graphics and video capabilities in a low power and compact package suitable for embedded applications like digital signage and set-top boxes. Key benefits include exceptional performance per watt, support for high-resolution displays, and lower system costs through integration and a long product lifecycle.
AMD launches its 2013 Elite A-Series desktop processors featuring improved performance over previous generations. The top-end A10-6800K has up to 4.4GHz CPU speeds and 779 GFLOPs of compute performance from its Radeon HD 8000 series graphics. Benchmark results show the A10-6800K outperforming Intel CPUs in graphics and compute workloads while providing playable 1080p gaming. AMD positions its A-Series APUs as delivering balanced CPU and GPU processing for mainstream applications and entertainment.
This document summarizes the design of AMD's "Zen 3" x86-64 microprocessor core. Some key points:
- "Zen 3" is AMD's second generation 7nm CPU core, designed to deliver higher performance than "Zen 2" through a 19% increase in instructions per clock (IPC) enabled by architectural changes and maintaining the same TSMC 7nm process.
- Changes from "Zen 2" include larger caches, increased instruction issue widths, faster floating point units, and higher load/store bandwidth. These changes aim to improve performance across desktop, mobile, and server segments.
- The core complex now includes 8 cores sharing 32MB of L3 cache, compared to 4 cores
The document discusses AMD's 3D V-Cache technology which vertically stacks an additional last-level cache die on top of CPU cores using through-silicon vias (TSVs). It provides up to a 15% improvement in gaming performance for desktop CPUs and up to 66% faster RTL verification for server CPUs. The technology uses a face-to-back die stacking approach and copper-copper hybrid bonding to efficiently interconnect the cache die with the CPU die below while maintaining high yield and reliability.
The document describes AMD's 3D V-Cache technology, which implements a 64MB stacked cache for a 7nm x86-64 CPU. It consists of a 7nm CPU core complex die (CCD) with 32MB L3 cache bonded to a 7nm extended L3 die (L3D) with 64MB of additional L3 cache, bringing the total L3 cache to 96MB. The bonding technique used is hybrid bonding, which offers higher interconnect density and efficiency compared to microbump bonding. This provides a large last-level cache to improve CPU performance with minimal increases to latency and area.
AMD EPYC Family World Record Performance Summary Mar 2022AMD
AMD's EPYC family of processors hold numerous world records as of March 2022 for performance and energy efficiency across various workloads. The EPYC processors have set records in fields like general computing, virtualization, databases, and more. AMD's website lists all the specific world records held by their EPYC processors and provides the latest information on their high-performance server CPU lineup.
AMD's EPYC family of processors holds numerous world records as of October 2021 for performance and energy efficiency across various workloads. The EPYC processors have set records in fields like general computing, virtualization, databases, and more. AMD provides an updated list of all world records held by EPYC processors on their website.
AMD continues to set new world records for performance. Their latest Ryzen processors provide leading-edge performance for gamers and creators. AMD's focus on innovation makes their processors the best choice for the latest generation of applications and games.
This document provides information on AMD EPYC processors and their world record performance achievements. It lists numerous world records held by EPYC processors in areas such as single and dual socket configurations, database and analytics workloads, HPC, virtualization, Java applications, and more. A total of 93 world records are claimed as of October 28, 2020. The reader is directed to AMD's website for full details on all world records.
This document describes the architecture of a superscalar out-of-order processor core. It can dispatch up to 6 macro operations per cycle and execute up to 4 instructions per cycle. It has an 8-way issue width and can perform up to 3 loads and 2 stores per cycle.
The document summarizes AMD's next-generation 7nm Ryzen 4000 APU "Renoir". Key points include:
- It features "Zen 2" CPU cores with up to 8 cores and 16 threads, and an integrated 7nm "Vega" GPU with up to 8 compute units.
- Performance is improved with up to 25% higher single-thread and 200% higher multi-thread CPU performance compared to previous generations. The GPU provides up to 27% higher performance.
- Power efficiency is increased with up to 20% lower power consumption while delivering higher performance.
- It offers improved memory bandwidth with support for DDR4-3200 and LPDDR4x-42
- The document describes AMD's next-generation 7nm Ryzen 4000 "Renoir" APU. Key highlights include improved single and multi-threaded CPU performance of up to 25% and 200% respectively over previous generations, along with up to 27% higher integrated GPU performance within a similar 15W power envelope. The APU achieves this through an enhanced "Zen 2" CPU architecture, improved 7nm Vega graphics, and optimization of the Infinity Fabric interconnect.
The document discusses AMD EPYC processors and lists several world records achieved using EPYC processors across various workloads and benchmarks. These include records for single and dual socket systems in areas like memory bandwidth, I/O expansion, big data analytics, virtualization, Java applications, and more. It provides details on specific benchmark results and dates from June 2020.
This document lists over 80 world records set by AMD EPYC 7002 series processors across various computing workloads and benchmarks. These records include the highest performance and efficiency in big data analytics, cloud computing, virtualization, enterprise applications, high performance computing, and more. All records were verified as of April 14, 2020 and additional details can be found at AMD.com/worldrecords.
AMD Chiplet Architecture for High-Performance Server and Desktop ProductsAMD
This document discusses AMD's chiplet architecture for high-performance server and desktop processors. Key points include:
- AMD partitions the system-on-a-chip design, using 7nm technology for CPU cores while leaving I/O interfaces in older process nodes. This improves performance and lowers costs.
- CPU dies ("chiplets") are connected using high-speed SerDes links both on-package and between dies. This allows for more chiplets and cores than traditional monolithic designs.
- Innovations in packaging, power distribution, and operating system scheduling were required to enable the multi-chiplet design and improve performance.
AMD has achieved world records in computing performance that are detailed on their website at AMD.com/worldrecords. The website provides specifics on AMD's accomplishments setting new standards in areas such as processing speed, graphics capabilities, and other high performance computing metrics. Visitors to the site can learn more about how AMD is pushing the boundaries of innovation.
The document summarizes the key specifications and architecture of the new Radeon Navi GPU. It is a 7nm GPU with 10.3 billion transistors and 251 square millimeters of die size. It features a new RDNA architecture with improved instruction throughput using wave32 dispatch and dual compute units. The new architecture also features a revamped cache hierarchy with faster L1 caches and an Infinity Fabric interconnect for improved bandwidth and latency.
This document appears to provide information about benchmark testing performed on various AMD Ryzen processors, including the Ryzen 9 3900X, Ryzen 7 3800X, Ryzen 7 3700X, Ryzen 5 3600X, Ryzen 5 3600, and Ryzen 7 2700X. Key details provided include the processors, motherboards, RAM, storage, GPUs, operating system, and BIOS settings used for each test.
UiPath Test Automation using UiPath Test Suite series, part 6DianaGray10
Welcome to UiPath Test Automation using UiPath Test Suite series part 6. In this session, we will cover Test Automation with generative AI and Open AI.
UiPath Test Automation with generative AI and Open AI webinar offers an in-depth exploration of leveraging cutting-edge technologies for test automation within the UiPath platform. Attendees will delve into the integration of generative AI, a test automation solution, with Open AI advanced natural language processing capabilities.
Throughout the session, participants will discover how this synergy empowers testers to automate repetitive tasks, enhance testing accuracy, and expedite the software testing life cycle. Topics covered include the seamless integration process, practical use cases, and the benefits of harnessing AI-driven automation for UiPath testing initiatives. By attending this webinar, testers, and automation professionals can gain valuable insights into harnessing the power of AI to optimize their test automation workflows within the UiPath ecosystem, ultimately driving efficiency and quality in software development processes.
What will you get from this session?
1. Insights into integrating generative AI.
2. Understanding how this integration enhances test automation within the UiPath platform
3. Practical demonstrations
4. Exploration of real-world use cases illustrating the benefits of AI-driven test automation for UiPath
Topics covered:
What is generative AI
Test Automation with generative AI and Open AI.
UiPath integration with generative AI
Speaker:
Deepak Rai, Automation Practice Lead, Boundaryless Group and UiPath MVP
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...Neo4j
Leonard Jayamohan, Partner & Generative AI Lead, Deloitte
This keynote will reveal how Deloitte leverages Neo4j’s graph power for groundbreaking digital twin solutions, achieving a staggering 100x performance boost. Discover the essential role knowledge graphs play in successful generative AI implementations. Plus, get an exclusive look at an innovative Neo4j + Generative AI solution Deloitte is developing in-house.
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...Zilliz
Join us to introduce Milvus Lite, a vector database that can run on notebooks and laptops, share the same API with Milvus, and integrate with every popular GenAI framework. This webinar is perfect for developers seeking easy-to-use, well-integrated vector databases for their GenAI apps.
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?Speck&Tech
ABSTRACT: A prima vista, un mattoncino Lego e la backdoor XZ potrebbero avere in comune il fatto di essere entrambi blocchi di costruzione, o dipendenze di progetti creativi e software. La realtà è che un mattoncino Lego e il caso della backdoor XZ hanno molto di più di tutto ciò in comune.
Partecipate alla presentazione per immergervi in una storia di interoperabilità, standard e formati aperti, per poi discutere del ruolo importante che i contributori hanno in una comunità open source sostenibile.
BIO: Sostenitrice del software libero e dei formati standard e aperti. È stata un membro attivo dei progetti Fedora e openSUSE e ha co-fondato l'Associazione LibreItalia dove è stata coinvolta in diversi eventi, migrazioni e formazione relativi a LibreOffice. In precedenza ha lavorato a migrazioni e corsi di formazione su LibreOffice per diverse amministrazioni pubbliche e privati. Da gennaio 2020 lavora in SUSE come Software Release Engineer per Uyuni e SUSE Manager e quando non segue la sua passione per i computer e per Geeko coltiva la sua curiosità per l'astronomia (da cui deriva il suo nickname deneb_alpha).
Communications Mining Series - Zero to Hero - Session 1DianaGray10
This session provides introduction to UiPath Communication Mining, importance and platform overview. You will acquire a good understand of the phases in Communication Mining as we go over the platform with you. Topics covered:
• Communication Mining Overview
• Why is it important?
• How can it help today’s business and the benefits
• Phases in Communication Mining
• Demo on Platform overview
• Q/A
Sudheer Mechineni, Head of Application Frameworks, Standard Chartered Bank
Discover how Standard Chartered Bank harnessed the power of Neo4j to transform complex data access challenges into a dynamic, scalable graph database solution. This keynote will cover their journey from initial adoption to deploying a fully automated, enterprise-grade causal cluster, highlighting key strategies for modelling organisational changes and ensuring robust disaster recovery. Learn how these innovations have not only enhanced Standard Chartered Bank’s data infrastructure but also positioned them as pioneers in the banking sector’s adoption of graph technology.
Pushing the limits of ePRTC: 100ns holdover for 100 daysAdtran
At WSTS 2024, Alon Stern explored the topic of parametric holdover and explained how recent research findings can be implemented in real-world PNT networks to achieve 100 nanoseconds of accuracy for up to 100 days.
For the full video of this presentation, please visit: https://www.edge-ai-vision.com/2024/06/building-and-scaling-ai-applications-with-the-nx-ai-manager-a-presentation-from-network-optix/
Robin van Emden, Senior Director of Data Science at Network Optix, presents the “Building and Scaling AI Applications with the Nx AI Manager,” tutorial at the May 2024 Embedded Vision Summit.
In this presentation, van Emden covers the basics of scaling edge AI solutions using the Nx tool kit. He emphasizes the process of developing AI models and deploying them globally. He also showcases the conversion of AI models and the creation of effective edge AI pipelines, with a focus on pre-processing, model conversion, selecting the appropriate inference engine for the target hardware and post-processing.
van Emden shows how Nx can simplify the developer’s life and facilitate a rapid transition from concept to production-ready applications.He provides valuable insights into developing scalable and efficient edge AI solutions, with a strong focus on practical implementation.
Securing your Kubernetes cluster_ a step-by-step guide to success !KatiaHIMEUR1
Today, after several years of existence, an extremely active community and an ultra-dynamic ecosystem, Kubernetes has established itself as the de facto standard in container orchestration. Thanks to a wide range of managed services, it has never been so easy to set up a ready-to-use Kubernetes cluster.
However, this ease of use means that the subject of security in Kubernetes is often left for later, or even neglected. This exposes companies to significant risks.
In this talk, I'll show you step-by-step how to secure your Kubernetes cluster for greater peace of mind and reliability.
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!SOFTTECHHUB
As the digital landscape continually evolves, operating systems play a critical role in shaping user experiences and productivity. The launch of Nitrux Linux 3.5.0 marks a significant milestone, offering a robust alternative to traditional systems such as Windows 11. This article delves into the essence of Nitrux Linux 3.5.0, exploring its unique features, advantages, and how it stands as a compelling choice for both casual users and tech enthusiasts.
Full-RAG: A modern architecture for hyper-personalizationZilliz
Mike Del Balso, CEO & Co-Founder at Tecton, presents "Full RAG," a novel approach to AI recommendation systems, aiming to push beyond the limitations of traditional models through a deep integration of contextual insights and real-time data, leveraging the Retrieval-Augmented Generation architecture. This talk will outline Full RAG's potential to significantly enhance personalization, address engineering challenges such as data management and model training, and introduce data enrichment with reranking as a key solution. Attendees will gain crucial insights into the importance of hyperpersonalization in AI, the capabilities of Full RAG for advanced personalization, and strategies for managing complex data integrations for deploying cutting-edge AI solutions.
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfMalak Abu Hammad
Discover how MongoDB Atlas and vector search technology can revolutionize your application's search capabilities. This comprehensive presentation covers:
* What is Vector Search?
* Importance and benefits of vector search
* Practical use cases across various industries
* Step-by-step implementation guide
* Live demos with code snippets
* Enhancing LLM capabilities with vector search
* Best practices and optimization strategies
Perfect for developers, AI enthusiasts, and tech leaders. Learn how to leverage MongoDB Atlas to deliver highly relevant, context-aware search results, transforming your data retrieval process. Stay ahead in tech innovation and maximize the potential of your applications.
#MongoDB #VectorSearch #AI #SemanticSearch #TechInnovation #DataScience #LLM #MachineLearning #SearchTechnology
Dr. Sean Tan, Head of Data Science, Changi Airport Group
Discover how Changi Airport Group (CAG) leverages graph technologies and generative AI to revolutionize their search capabilities. This session delves into the unique search needs of CAG’s diverse passengers and customers, showcasing how graph data structures enhance the accuracy and relevance of AI-generated search results, mitigating the risk of “hallucinations” and improving the overall customer journey.
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...James Anderson
Effective Application Security in Software Delivery lifecycle using Deployment Firewall and DBOM
The modern software delivery process (or the CI/CD process) includes many tools, distributed teams, open-source code, and cloud platforms. Constant focus on speed to release software to market, along with the traditional slow and manual security checks has caused gaps in continuous security as an important piece in the software supply chain. Today organizations feel more susceptible to external and internal cyber threats due to the vast attack surface in their applications supply chain and the lack of end-to-end governance and risk management.
The software team must secure its software delivery process to avoid vulnerability and security breaches. This needs to be achieved with existing tool chains and without extensive rework of the delivery processes. This talk will present strategies and techniques for providing visibility into the true risk of the existing vulnerabilities, preventing the introduction of security issues in the software, resolving vulnerabilities in production environments quickly, and capturing the deployment bill of materials (DBOM).
Speakers:
Bob Boule
Robert Boule is a technology enthusiast with PASSION for technology and making things work along with a knack for helping others understand how things work. He comes with around 20 years of solution engineering experience in application security, software continuous delivery, and SaaS platforms. He is known for his dynamic presentations in CI/CD and application security integrated in software delivery lifecycle.
Gopinath Rebala
Gopinath Rebala is the CTO of OpsMx, where he has overall responsibility for the machine learning and data processing architectures for Secure Software Delivery. Gopi also has a strong connection with our customers, leading design and architecture for strategic implementations. Gopi is a frequent speaker and well-known leader in continuous delivery and integrating security into software delivery.
UiPath Test Automation using UiPath Test Suite series, part 5DianaGray10
Welcome to UiPath Test Automation using UiPath Test Suite series part 5. In this session, we will cover CI/CD with devops.
Topics covered:
CI/CD with in UiPath
End-to-end overview of CI/CD pipeline with Azure devops
Speaker:
Lyndsey Byblow, Test Suite Sales Engineer @ UiPath, Inc.
UiPath Test Automation using UiPath Test Suite series, part 5
AMD Display Technologies
1. White Paper | A MD RADEON ™ HD 7900
AMD RADEON ™ HD 7800
AMD RADEON ™ HD 7700
SERIES GRAPHICS DISPLAY TECHNOLOGIES
Table of Contents
INTRODUCTION 2
Discrete Digital Multi-Point Audio 2
Multi-display Technologies 2
Stereoscopic 3D 2
Wide Color Gamut 2
DISCRETE DIGITAL MULTI-POINT AUDIO 3
Overview 3
DDMA Applications 4
AMD Display Library SDK 5
DISPLAYPORT™ 1.2 6
DisplayPort™ 1.2 Overview 6
High Bit-rate 2 7
Stereoscopic 3D on AMD Radeon™ Graphics 7
Multi-Stream Transport 8
Maximum AMD Eyefinity Technology Resolution 11
High Bit-rate Audio 12
AMD HD3D TECHNOLOGY 12
AMD HD3D Technology Overview 12
Frame Sequential Displays 13
HDMI® Stereo 3D Packed Frame 14
DisplayPort™ MSA Misc1 Bits 15
4K X 2K 16
Overview 16
COLOR ACCURACY 17
Overview 17
SUMMARY 19 April, 2012
2. INTRODUCTION
Display devices have always been an integral part of the PC experience.
Whether it is in the form of a desktop monitor, a notebook’s embedded
panel, or the touch screen of a PC tablet, display devices play a vital role in
defining the user’s visual experience.
The new display technologies integrated exclusively in the AMD Radeon™
HD 7700-7900 Series are designed to deliver new and unique experiences
with impressive performance in these different technologies:
Discrete Digital Multi-Point Audio
As the display industry moves toward digital interfaces that support
audio, such as HDMI® and DisplayPort™, more PC monitors now have the ability to output audio
through built-in speakers or a stereo jack for external speakers. AMD’s new Discrete Digital Multi-
Point (DDM) Audio technology takes advantage of this trend and enables new uses cases that
were not previously possible.
Multi-display Technologies
DisplayPort™ 1.2, a new display interface, boasts features such as tremendous bandwidth and
daisy-chaining capabilities. Combined, these features complement the AMD Eyefinity technology
multi-display technology very well.1
Stereoscopic 3D
Radeon™ HD 7700-7900 Series GPUs are the first graphics cards in the market to support
3GHz HDMI® bandwidth to enable a smoother and more responsive Stereoscopic 3D gaming
experience.2 This whitepaper will explain how this feature, exclusive to the Radeon™ HD 7700-7900
Series, enables the PC to deliver a high-performance stereoscopic 3D gaming experience.
Wide Color Gamut
Monitors and notebooks with wide color gamut panels, once reserved for the professional market,
have become more prominent with several products shipping in the market. While these types
of LCD panels display a wider range of colors, there are drawbacks and challenges which will
be explained in this whitepaper, as well as the color gamut remapping technology integrated in
Radeon™ HD 7700-7900 Series GPUs.
This whitepaper provides an overview of the display technologies integrated into the display engine of
Radeon™ HD 7700-7900 Series graphics.3 These capabilities and technologies, when combined with
cutting edge display devices, enable The Ultimate Visual Experience™.
AMD Radeon™ HD 7700-7900 Series Display Technology 2
3. DISCRETE DIGITAL MULTI-POINT AUDIO
Overview
Today’s PC monitors increasingly support HDMI® and DisplayPort™ inputs. Typically, these PC monitors
have the ability to decode and convert a digital audio stream from the HDMI® (or DisplayPort™) input and
transmit the sound through its embedded speakers or a stereo jack for external speakers. In addition,
end users today have the option of connecting their PCs to HDTVs, which support audio through HDMI®.
With this in mind, AMD looked for ways to enable new and unique use cases for end-users using
multiple displays with audio capability. This gave birth to a new feature introduced by the Radeon™ HD
7700-7900 Series GPUs: Discrete Digital Multi-Point Audio (“DDMA”).
DDMA enables Radeon™ HD 7700-7900 Series GPUs with the ability to output multiple and independent
audio streams simultaneously through digital interfaces that support audio, such as DisplayPort™ and
HDMI®. Each audio stream can be multi-channel (up to 8 channels). Previous generation GPUs only
output one audio stream at a time, even if multiple DisplayPort™ or HDMI® outputs were connected to
displays with audio support (as shown in Figure 1):
Figure 1: Current GPUs only support one audio stream at a time
The Radeon™ HD 7700-7900 Series GPUs are the world’s first GPUs to output more than one
independent multi-channel audio stream simultaneously (see Figure 2). In fact, up to six audio
streams are supported by the Radeon™ HD 7700-7900 Series GPUs.
Figure 2: Radeon™ HD 7700-7900 Series GPUs can simultaneously output
multiple independent digital audio streams
AMD Radeon™ HD 7700-7900 Series Display Technology 3
4. Transmitting multiple audio streams can be achieved through multiple DisplayPort™ outputs, and can
be combined with one HDMI® output. For graphics cards with limited display output connectors, DDMA
can be fully realized with DisplayPort™ 1.2-enabled equipment, such as a multi-stream transport hub or
daisy-chainable monitors.
DDMA Applications
There are numerous use cases that DDMA enables, the most prominent of which is multi-display video
conferencing. DDMA technology enhances a multi-display video conferencing experience by adding
a “directional” audio element when used with multiple audio-capable displays. As illustrated in Figure
2, an application can utilize DDMA technology to assign each person’s video and audio stream to an
individual display and the speakers connected to it. As expected, only that person’s voice can be
heard from the display’s speaker. In addition, the application is no longer required to mix all of the audio
streams into one. This significantly enhances the experience.
DDMA technology also enables audio that “follows” the window of
the video playback application. Through AMD’s ADL SDK, a video
playback application can map the audio stream to the end-point
associated with the display that its window is currently located
in. While the audio from the video content seamlessly follows
the window of the video, all the system sounds can still be heard
through the system’s default audio end-point.
Audio
Another DDMA application caters to end-users with multiple
displays in their homes, which is becoming very common today given the low prices of HDTVs.
With DDMA, one PC equipped with an Radeon™ HD 7700-7900 Series GPU can act as a media
hub or server and drive all the displays with independent video and audio content
Figure 3: A single PC equipped with an Radeon™ HD 7700-7900 Series GPU can drive multiple
displays in the home with different content
AMD Radeon™ HD 7700-7900 Series Display Technology 4
5. Application developers can innovate in this area and provide unique solutions for end-users to control
their media server wherever they are located. As an example, there are applications today that allow
users to interact with and control the media server application using smartphones or tablets that
communicate through their wireless network. DDMA technology is a cost-effective solution to support
multi-room entertainment.
Today’s PC gamers typically like to multi-task while they are playing their favorite games. Whether they
are waiting for their opponents in turn-based RPGs, or waiting for a long game cut-scene to end, gamers
like the ability to watch and listen to different video and audio content. With DDMA, they can do just that:
keep themselves in the game with video on another display featuring audio that does not interfere with
the audio in the player’s headset.
Figure 4: Multi-tasking with DDMA
AMD Display Library SDK
The AMD Display Library (ADL) SDK is available to developers who want to take advantage of DDMA.
This SDK gives developers the ability to map independent audio streams to specific audio-end points
and enable new and unique use cases, including those described in this document. For more details,
please visit : http://developer.amd.com/sdks/Pages/default.aspx.
Figure 5: AMD Display Library
SDK is available for developers
to enable support for DDMA
AMD Radeon™ HD 7700-7900 Series Display Technology 5
6. DISPLAYPORT™ 1.2
DisplayPort™ 1.2 Overview
In 2006, PC manufacturers (including AMD) collaborated in designing the next generation PC display
interface, which would eventually be known as DisplayPort™. DisplayPort™ was designed to replace DVI
and VGA by offering features that are beneficial to both system integrators and end users. It was also
designed to be flexible and easily extensible for new features that the market will require in the future.
The first generation of DisplayPort™ provided 10.8 Gbps of raw bandwidth, which no other display
interface can match. DisplayPort™ also supported very long non-active cables, optional latch designs
for connectors, and audio support. In addition, DisplayPort™ supports spread spectrum clocking, which
can dramatically reduce EMI. Finally, Source devices such as GPUs can also operate in dual-mode
(otherwise known as DP++); this is valuable because it allows the same connector to transport TMDS
signals to support DVI and HDMI® outputs using inexpensive level-shifting adapters.
The data link rates of DisplayPort™ 1.1a are fixed at either 1.62 Gbps per lane or 2.7 Gbps per lane,
irrespective of the timing of the attached display device. This design only requires a single reference
clock source to drive as many DisplayPort™ streams as there are display pipelines in the GPU.
In contrast, DVI and HDMI® both require a dedicated clock source per display timing. This unique
DisplayPort™ feature allows for the most efficient multi-display design and complements the
AMD Eyefinity . Please refer to the AMD Eyefinity Brief for more information.
All the features of DisplayPort™ 1.1a proved that it was the superior PC display interface. To further
enhance the DisplayPort™ interface, the same group of companies collaborated once more to define the
next version of DisplayPort™, which paved the way to DisplayPort™ 1.2.
In 2010, the DisplayPort™ 1.2 specification was ratified by VESA. This new revision of the standard adds
support for new and exciting features including high bit-rate audio, even higher link bandwidth, and
multi-streaming capabilities.
The Radeon™ HD 7700-7900 Series is AMD’s second generation of GPUs that are DisplayPort™ 1.2
certified. Table 1 is a simplified comparison of display interface capabilities integrated into the Radeon™
HD 7700-7900 Series GPUs:
DisplayPort™ 1.2 DisplayPort™ 1.1a SL-DVI DL-DVI HDMI®
Bandwidth 21.6 Gbps 10.8 Gbps 4.95 Gbps 9.9 Gbps 9.0 Gbps
Video Data Rate 17.28 Gbps 8.64 Gbps 3.96 Gbps 7.92 Gbps 7.2 Gbps
Maximum Resolution Support 2560x2048 2560x2048 1900x1200 2560x1600 1920x1200
@ 60Hz 24bpp
Audio Support Yes Yes No No Yes
Embedded Application Support Yes Yes No No No
In-band Stereo 3D signaling Yes Yes No No Yes
Multi-stream support Yes No No No No
Table 1: Display interface capabilities of the Radeon™ HD 7700-7900 Series GPUs
AMD Radeon™ HD 7700-7900 Series Display Technology 6
7. High Bit-rate 2
DisplayPort™ 1.2 supports up to twice the bandwidth of DisplayPort™ 1.1a. High Bit-rate 2 (HBR2) provides
up to 5.4 Gbps/lane of bandwidth, or up to 21.6 Gbps in a full four lane configuration. This lends itself very
well to many applications that require ultra-high bandwidth.
Chart 1 illustrates the wide range of display timings (resolution, refresh rate, and color depth) supported
by various digital display interfaces.
Chart 1: Comparison of video data rate versus resolution at different refresh rates and color depths
As illustrated in Chart 1, DisplayPort™ 1.2 can easily support a multitude of display timings combining
high resolutions, high refresh rates and high color depth. No other PC display interface can match this
capability today.
Stereoscopic 3D on AMD Radeon™ Graphics
Frame sequential 3D displays present one view at a time (left or right eye) to the user and require the
use of liquid crystal shutter glasses. According to Stereo 3D enthusiasts, at least 60fps (or 60Hz) per eye
is required for these types of displays to have a pleasant 3D experience. This means that the minimum
total refresh rate required is 120Hz. DisplayPort™ 1.2 provides ample bandwidth to drive frame sequential
3D displays at 120Hz with support for resolutions up to 2560x1600.
AMD Radeon™ HD 7700-7900 Series Display Technology 7
8. Multi-Stream Transport
Leveraging the micro-packet architecture of DisplayPort™, DisplayPort™ 1.2 adds the capability to
address and drive several display devices through one DisplayPort™ connector. This feature has often
been referred to as daisy-chaining or addressable displays.
Multi-stream transport, or MST for short, can be leveraged using two types of system design. Figure 6
illustrates how MST can be used with daisy-chainable monitors. Each of the monitors in the daisy-chain
configuration, with the exception of the last monitor in the chain, must have a DisplayPort™ receiver and
a transmitter. Once the monitor extracts the video and audio stream addressed to it, it will then transmit
the rest of the video and audio streams addressed to the other monitors down the chain.
Figure 6: Daisy-chaining monitors
Figure 7 illustrates the alternate method of using MST to drive multiple displays through the use of
MST Hub or Splitter devices. The hub device receives a DisplayPort™ 1.2 MST signal from the source
device and splits up and routes the video streams independently to each display device. Using this
type of configuration also allows the use of non DisplayPort™ 1.2 monitors. To support non DisplayPort™
outputs, such as VGA, DVI or HDMI®, the MST hub has to actively convert the DisplayPort™ signal to the
other types of display interface signals. Active adapters that convert from DisplayPort™ 1.1a to legacy
interfaces such as VGA or DVI/HDMI® exist today.
Figure 7: Using MST Hub or splitter
AMD ZeroCore Power Technology 8
9. The number of display devices, and also the timings that each display device can be driven at, will
depend on the available bandwidth. Table 2 lists the multi-display configurations possible with HBR
and HBR2 bandwidth:
HBR HBR2
1366x768 @ 60Hz, 24bpp Up to 5 Up to 64
1600x900 @ 60Hz, 24bpp Up to 3 Up to 62
1920x1080 @ 60Hz, 24bpp Up to 2 Up to 4
2560x1440 @ 60Hz, 24bpp 1 Up to 2
Table 2: Display configurations supported by HBR1 and HBR2
In 2009, AMD first announced the Eyefinity Multi-display feature. This
differentiating feature has been well received by reviewers and end-
users alike. While the appeal is mainly for ultra-wide screen and high
resolution gaming, this feature also caters to those looking to increase
their productivity through multi-monitor configurations. The Radeon™ HD
7700-7900 Series will be the second generation of GPUs that extend the
capabilities of AMD Eyefinity technology with DisplayPort™ 1.2 MST.
Using daisy-chainable displays or MST hubs significantly extends the
number of display configurations possible with a reference board design
that has at least one DisplayPort™ 1.2 connector. For example, with the
ATI Radeon™ HD 5000 Series GPUs, six-display configurations are only
possible using six DisplayPort™ 1.1a connectors as shown in Figure 8. This
was realized with the acclaimed ATI Radeon™ HD 5890 Eyefinity6 Edition
graphics card.
Figure 8: ATI Radeon™ HD 5890 Eyefinity6 Edition driving six displays with six mini-DP connectors
AMD ZeroCore Power Technology 9
10. As an example of how to combine MST and AMD Eyefinity , consider Figure 9. Using an MST hub, which
is expected to be available in the second half of 2012, even the AMD Radeon™ HD 7900 reference board
can drive up to six displays using only two DisplayPort™ connectors. This provides an upgrade path for
end-users who have three monitors today, but may want to upgrade to five or six monitors in the future.
Figure 9: Using MST Hub to drive six displays
Aside from multi-output hubs, AMD expects less expensive DisplayPort™ 1.2 MST dongles in the market,
which support up to two display outputs. Figure 10 illustrates how you can support up to six displays
using two of these dongles combined with the DVI or HDMI® display outputs on the graphics card.
Figure 10: Using MST dongles and legacy outputs on graphics card to drive six displays
AMD Radeon™ HD 7700-7900 Series Display Technology 10
11. Maximum AMD Eyefinity Technology Resolution
The ATI Radeon™ HD 5000 series GPUs supported a maximum AMD Eyefinity technology
resolution of 8192 pixels wide by 8192 pixels high. The AMD Radeon™ HD 6000 and 7000
Series GPUs removes this limitation and supports a maximum AMD Eyefinity technology
resolution of 16384x16384 pixels, which enables new usage scenarios. Figure 11 shows
one example of an AMD Eyefinity technology configuration which is not supported with
previous generation GPUs.
Figure 11: AMD Eyefinity technology
configuration that exceeds 8192x8192
limitation but supported by AMD Radeon™
HD 6000 7000 Series GPUs
There are other possible configurations supported by the Radeon™ HD 7700-7900 Series
GPUs combined with DisplayPort™ 1.2 MST monitors, hubs and dongles. Please note that to
take advantage of this feature, Windows® 7 Aero glass must be disabled. In addition, only
DirectX® 11 games allow resolutions above 8192x8192 pixels.
AMD Radeon™ HD 7700-7900 Series Display Technology 11
12. High Bit-rate Audio
Radeon™ GPUs have supported pass-through audio through HDMI® since the ATI Radeon™ HD 2000
series GPUs, without external audio cabling. In 2009, AMD released the ATI Radeon™ HD 4700, 4600
and 4500 and 4300 series GPUs which were the first GPUs in the market to support audio through
DisplayPort™. Today, there are several DisplayPort™-enabled monitors in the market that can take
advantage of this feature, all of which have the option of attaching external speakers or a sound bar to
the monitor.
Although DisplayPort™ 1.1a supports audio, the specification does not have provision to support high
bit-rate compressed audio formats, such as those found in Blu-ray movies. DisplayPort™ 1.2 adds this
capability and the Radeon™ HD 7700-7900 Series will be the second generation GPUs in the market to
support High bit-rate audio through DisplayPort™. Table 3 lists the high bit-rate audio formats found in
premium content, now supported through DisplayPort™ 1.2:
DTS-HD Master Audio Dolby TrueHD PCM 7.1ch
Bitrate Up to 24 Mbps Up to 18Mbps Up to 36 Mbps
Bits/Sample 24 bits/sample 24 bits/sample 24 bits/sample
Sampling Rate Up to 192 kHz Up to 192 kHz Up to 192 kHz
Channels Up to 8 Up to 8 Up to 8
Table 3: Compressed and uncompressed audio formats supported through DisplayPort™ 1.2
This capability is attractive to HTPC enthusiasts who want the latest in audio technologies in the market.
AMD HD3D TECHNOLOGY
AMD HD3D TECHNOLOGY Overview
Stereoscopic 3D is a technique that creates the illusion of depth using a stereo image pair. Each image
represents the scene as viewed by the left or the right eye. The illusion of depth is achieved when the
display device (along with the passive polarized and active glasses in most 3D systems) is able to
present the left image only to the left eye and the right image to the right eye. To fully understand how
AMD HD3D technology can deliver stunning 3D images, it is helpful to first examine the Stereoscopic 3D
gaming pipeline.
The majority of DirectX® games available in the market do not support stereo 3D natively. This means
that the stereo image pair must be generated external to the game engine. This can be achieved with
third party stereo 3D conversion software, such as Dynamic Digital Depth’s TriDef gaming driver.
The stereo 3D conversion software intercepts DirectX® calls from the game. Using these calls, the stereo
3D conversion software generates the stereo image pair, or the Left and Right eye view. For certain types
of 3D displays, the stereo 3D conversion software blends the two views together to form a single frame
using a format that the display supports (e.g. Row interleave, checkerboard, side-by-side, etc…). Once
the frame is in the correct format, the stereo 3D conversion software sends the frame to the GPU, which
will then be sent to the 3D display device.
AMD Radeon™ HD 7700-7900 Series Display Technology 12
13. Figure 12: Stereo 3D conversion software architecture
Frame Sequential Displays
Frame sequential 3D displays (also known as page flipped displays) require special treatment. The
stereo 3D conversion software typically must output in frame sequential format to support frame
sequential 3D displays, and does not need to convert the frames into any of the formats illustrated in
Figure 12. However, the stereo 3D conversion software requires a new API known as AMD’s quad buffer.
Figure 13: Stereo 3D conversion software
architecture using AMD’s quad buffer
AMD’s quad buffer API provides the infrastructure for stereo 3D conversion software to support frame
sequential 3D displays by creating a double-height buffer using the existing front back buffer in
DirectX®. After the stereo 3D conversion software stores the left and right images in the quad buffer,
they are then fetched by the display engine which ensures that the frames remain in ordered sequence
throughout the pipeline. Before the frames are transmitted, the display engine formats the output to
provide frame polarity information to the display device. Two standardized methods of conveying frame
polarity information are supported by the Radeon™ HD 7700-7900 Series GPUs. These will be described
in the next section.
AMD Radeon™ HD 7700-7900 Series Display Technology 13
14. For more information regarding AMD’s quad buffer API, please visit:
http://developer.amd.com/sdks/QuadBufferSDK/Pages/default.aspx
HDMI® Stereo 3D Packed Frame
The HDMI® 1.4a specification provides a method to support Stereo 3D display
devices. This specification provides a mechanism for the source device, in
this case the GPU, to convey frame polarity information, while maintaining full
resolution. The majority of stereo 3D TVs released in the market since 2009 support
the HDMI® 1.4a specification. Today, monitors support stereo 3D through HDMI®.
Similar to AMD’s quad buffer described in the preceding section, every stereo
image pair is assembled into a standard format known as a packed frame. The GPU
creates a buffer that is twice the height of the resolution of the frame, with active
space in between. As per the specification, the top half of the packed frame is
reserved for the left eye view, while the bottom is reserved for the right eye view.
After both frames are packed into one double-height frame, the GPU will then send
it over the HDMI® link as a packed frame. Once the TV receives this packed frame,
it is then unpacked and typically presented to the viewer in a frame sequential or
page flipped manner. Since the polarity of each frame is known, the display can
reliably control the emitter to send the correct signal to the shutter glasses.
The Radeon™ HD 7700-7900 Series GPUs are the first in the world to support all of
these packed frame 3D modes:
New - 1920x1080 @ 60Hz/Eye ( 120Hz total )
1920x1080 @ 24Hz/Eye ( 48Hz total )
1280x720 @ 60Hz/Eye ( 120Hz total )
1280x720 @ 50Hz/Eye ( 100Hz total )
The first mode listed above (1920x1080 @ 60Hz/Eye) is very critical to gamers who want to play games
in stereo 3D. With the 3GHz HDMI® speed supported by the Radeon™ HD 7700-7900 Series GPUs,
higher frame rates (up to 60Hz/Eye) at Full HD resolution can now be transmitted to the display device
resulting in smooth and responsive game-play. Another feature enabled by 3GHz HDMI® speed is
support for 4kx2k resolutions, which will be discussed later.
AMD Radeon™ HD 7700-7900 Series Display Technology 14
15. DisplayPort™ MSA Misc1 Bits
The DisplayPort™ standard specifies a method in which the source device can send frame polarity
information through the DisplayPort™ main link. This method is often referred to as the MSA method.
MSA (Main stream attribute) is a secondary packet sent by the GPU to the display device, which is
transmitted during the vertical blanking interval. This table shows how the GPU sets the MISC1 bits for
left and right images.
Due to the high bandwidth requirement of Stereo 3D as well as the MSA method for signaling, monitor
vendors are designing their next generation Stereo 3D monitors to support DisplayPort™. In fact, MSA MISC1 Bits
Samsung has released multiple stereo 3D monitors in 2011 that support this method of signaling through
Bit 1 Bit 1
DisplayPort™ (Samsung A700, A750 and A950 series). The Radeon™ HD 7700-7900 Series are the
second generation GPUs that are ready to support these monitors. This method is also applicable to No Stereo Video 0 0
embedded DisplayPort™ to support embedded stereo 3D panels for notebook and All-in-one platforms. Video Frame is Right 0 1
Reserved 1 0
The Radeon™ HD 7700-7900 Series GPUs also support stereo 3D video playback. The stereo 3D video Video Frame is Left 1 1
pipeline is similar to the gaming pipeline, where a third party application is required to convert 2D content
to 3D, or to decode native Stereo 3D content. These applications also convert the format of the frame,
depending on the type of 3D display device attached to the PC.
Figure 14: Stereo 3D Video pipeline
The Radeon™ HD 7700-7900 Series GPUs support the following features:
UVD accelerated MVC Decode for Blu-ray 3D movies
Windowed mode playback of Blu-ray 3D movies through HDMI® and DisplayPort™
Clone mode 3D movie playback
For more information, please refer to the AMD Video Technologies technical whitepaper.
AMD Radeon™ HD 7700-7900 Series Display Technology 15
16. 4K X 2K
Overview
Ultra-high resolution displays have existed for years but
were targeted for professional applications. However,
4Kx2K TVs have started to appear in many tradeshows
and demonstration events. In the future, AMD envisions
TVs and monitors supporting significantly higher
resolutions, well above WQXGA (2560x1600). There are
various resolutions for 4Kx2K displays, with different
aspect ratios. Table 4 lists some examples:
4Kx2K Resolution Aspect Ratio
4096x2304 16:9
4096x2160 19:10
3840x2400 16:10
3840x2160 16:9
Table 4: List of 4Kx2K resolutions
Current 4Kx2K displays require multiple display interface inputs. For example, to support 3840x2400
@ 60Hz, four single-link DVI inputs, or two dual-link DVI inputs are required. These displays can be
supported by most AMD GPUs, assuming the right combination of display outputs is supported.
Next generation 4Kx2K displays ( including TVs, monitors and projectors ) will only require a single cable
and a single display interface input. The Radeon™ HD 7700-7900 Series GPUs are the first that are
capable of supporting next generation 4Kx2K displays through a single DisplayPort™ or HDMI® cable.
Display Interface Resolution Refresh Rate
DisplayPort 1.1a ( HBR1 )
™
4096x2304 30Hz
DisplayPort 1.2 ( HBR2 )
™
4096x2304 60Hz5
HDMI® ( @ 3Ghz ) 4096x2160 24Hz
DL-DVI 3840x2400 30Hz
AMD Radeon™ HD 7700-7900 Series Display Technology 16
17. COLOR ACCURACY
Overview
A display’s color gamut refers to the range of colors that it can represent. The most
common method of illustrating a display device’s color gamut is by using a gamut
diagram, similar to Figure 15. The supported color gamut of the display is represented
as the area bounded usually by a triangle - in this case labeled sRGB. The majority of
display devices in the past had the capability to fully display the sRGB color gamut.
(Note: This is usually advertised as 72% NTSC). In addition, the majority of content
are captured in sRGB color gamut, including pictures and videos. Even the Microsoft
Windows® desktop is rendered in sRGB color gamut.
Today, there are LCD monitors in the market that can display a color gamut greater than
sRGB. Some monitors can cover 80% NTSC, while professional monitors can cover
Adobe RGB (92% NTSC) or more. The problem arises when the end user views sRGB
content on wide color gamut monitors without color correction - the colors become
distorted and over saturated in most cases. This problem can be addressed by a
process called color correction or color gamut remapping.
Figure 15: – Color gamut diagram for sRGB
Figure 16: Difference between corrected and
uncorrected image6
While the uncorrected image may seem more vivid, some of the colors look unnatural - especially flesh
tone colors. One can imagine the problem this would cause in professional graphics applications where
color accuracy is paramount. Even for mainstream consumers, uncorrected color images could lead
to frustration for those who print photos at home, or those who view and purchase items through the
internet.
Previous generation GPUs, for example the ATI Radeon™ HD 5000 Series, had the capability to perform
gamut remapping. However, the capability is limited, in that the color gamut remapping or color
correction is performed in non-linear space (i.e. gamma space). This limits the precision and accuracy of
the color gamut remapping process.
AMD Radeon™ HD 7700-7900 Series Display Technology 17
18. The Radeon™ HD 7700-7900 Series GPUs remove this limitation by performing the color gamut
remapping in linear space, as illustrated in Figure 17:
Previous Generation GPUs
AMD Radeon™ HD
6000 7900 Series
Figure 17: Comparison of color gamut remapping hardware
Adding the de-gamma step in the display engine and an advanced gamut remapping algorithm ensure
high precision color gamut remapping throughout the pipeline, resulting in excellent color reproduction
even on wide gamut panels. In addition, since the color gamut remapping process is performed by the
display engine hardware and not through software, it will not incur any CPU or shader performance
penalty and can be applied to full screen and windowed applications.
AMD plans to publish an API that can take advantage of this new hardware capability, along with SDK
documentation. These will soon be available for application developers at http://developer.amd.com.
AMD Radeon™ HD 7700-7900 Series Display Technology 18