SlideShare a Scribd company logo
1 of 40
Download to read offline
VGT 529 VLSI design :
Review of Transistor Level
Design
Fazrul Faiz Zakaria, Ph.D
Faculty of Electronic Engineering Technology
Universiti Malaysia Perlis
Outline
✘ Course info
✘ Course contents
✘ Course assessments
✘ Device design
✘ MOS Transistor theory
✘ Fabrication
✘ CMOS Technology
✘ Layout DRC
✘ Technology related CAD
✘ Manufacturing issues
2
Course Info
Contents & Assessment
Course Contents
4
WEEK DATE COURSE CONTENT
1 5/4/2021
Review of transistor level design:
Design Device: Long channel I-V characteristic, C-V characteristic, non-ideal l-V effect, DC transfer characteristic.
Fabrication: CMOS technology, layout design rule, technology related CAD, manufacturing issues.
2 12/4/2021
Review of transistor level design:
Review of circuit level design, logic style, CMOS logic gate design, leaf cell design..
3 19/4/2021
Circuit characterization and performance estimation:
Speed: RC delay model, linear delay model, logical effort path, timing analysis, delay model, transistor sizing, design
margin.
4 26/4/2021
Circuit characterization and performance estimation:
Power: Dynamic power, static power, leakage power, energy delay estimation.
5 3/5/2021
Circuit characterization and performance estimation:
Wires: interconnect modeling, interconnect impact, interconnect engineering, logical effort with wire.
Circuit characterization: path simulation, DC transfer characteristic, logical effort, power and energy, simulating
mismatches, monte carlo simulation.
6 17/5/2021
High level-design methodology:
Structured design strategies: Hierarchy, regularity, modularity, locality.
Design methods: microprocessor, programmable logic, gate array, cell-based design, full custom, platform based design
- SoC.
7 24/5/2021
High level-design methodology:
Design flow: behavioral synthesis design flow (ASIC design flow), logic design and verification, RTL synthesis,
functional or formal verification, static timing analysis, test insertion, power analysis.
Course Contents
5
8 31/5/2021
High level design methodology:
Automated layout generation: placement, floor planning, routing, parasitic extraction, timing oncolysis, noise, Vdd
drop,, electromigration oncolysis, timing driven placement, clock tree routing, power analysis.
9 -10
7/6/2021
-
14/6/2021
Advance Design :
High speed design: switching time oncolysis, detail load capacitance calculation, improving delay calculation with
input slope, gate sizing for optimal Poth delay, optimizing Poth with logical efforts, logical style
11-12
21/6/2021
-
28/6/2021
Advance Design:
Low power architecture: microarchitecture, parallism, pipelining, power management modes, gate power control,
data latching, clock gating, architecture driven voltage scaling, dynamic voltage and frequency
13 5/7/2021
Design strategies for test:
Tester, Test fixture, test program, logic verification principles, silicon debug principles, manufacturing test
principles.
14 12/7/2021
Design strategies for test:
Design for test ability: adhoc testing, scan design, built in self test, IDDQ test, design for manufacturability,
boundary SCON. Test pattern generation: fault models, automatic test pattern generation (ATPG), fault simulation
Assessments
6
Activities Week Tentative Date
Level of
complexity
Marks
Assignment 1 4 26/4/2021 C4 10%
Assignment 2 8 31/5/2021 C6 10%
Project 14 12/7/2021 C6 40%
Open book test 19
9/8/2021
C4 30%
Quiz 1 4
26/4/2021
C1 2.5%
Quiz 2 7 24/5/2021 C2 2.5%
Quiz 3 10
14/6/2021
C2 2.5%
Quiz 4 13
5/7/2021
C3 2.5%
Device Design
MOS Transistor Theory
A deep dive into a chip
Packaged chip Silicon die (100-400 mm2)
Die cross-section
Transistor (FET)
6-15 metal layers (wires)
8
MOSFET Intro
Nearly all digital systems are built using field effect
transistors, which are voltage-controlled switches.
FETs come in two varieties: nFET and pFET
9
A high voltage at gate creates
conducting path between
source and drain
A low voltage at gate creates
conducting path between
source and drain
MOS Structure & operation
10
Polysilicon Gate
Silicon Dioxide (SiO2) Insulator
P-type Body
Depletion region
Inversion region
Depletion region
MOS Transistor Operation
11
Cut-off mode
No channel between source and drain
Transistor is off
Cut-off
MOS Transistor Operation
12
Liner Mode:
As Vgs > Vt the inversion region
created a channel between source &
drain.
If Vgd = Vgs ; Vds = 0 Ids =0
If Vds ↑ Ids ↑
Linear
MOS Transistor Operation
13
• Saturation mode
• When Vds > Vgs-Vt
• Channel pinch-off
Saturation
I-V Characteristic
In Linear region, Ids depends on
✗ How much charge is in the channel?
✗ How fast is the charge moving?
14
Channel Charge
MOS structure looks like parallel
plate capacitor while operating in
inversions:
Gate – oxide – channel
Qchannel = CV
C = Cg = eoxWL/tox = CoxWL
V = Vgc – Vt = (Vgs – Vds/2) – Vt
15
n+ n+
p-type body
+
Vgd
gate
+ +
source
-
Vgs
-
drain
Vds
channel
-
Vg
Vs
Vd
Cg
n+ n+
p-type body
W
L
tox
SiO2
gate oxide
(good insulator, eox
= 3.9)
polysilicon
gate
Cox = eox / tox
Carrier Velocity
Charge is carried by e-
Electrons are propelled by the lateral electric
field between source and drain:
𝐸 = ൗ
𝑉𝑑𝑠
𝐿
Carrier velocity v proportional to lateral E-field :
𝑣 = 𝜇𝐸 ∴ 𝜇 called mobility
Time for carrier to cross channel:
𝑡 = Τ
𝐿
𝑣
16
c
nMOS Linear I-V
Now we know:
How much charge Qchannel is in the channel
How much time t each carrier takes to cross
17
𝐼𝑑𝑠 =
𝑄𝑐ℎ𝑎𝑛𝑛𝑒𝑙
𝑡
= 𝜇𝐶𝑜𝑥
𝑊
𝐿
𝑉
𝑔𝑠 − 𝑉𝑡 − ൗ
𝑉𝑑𝑠
2 𝑉𝑑𝑠
= 𝛽 𝑉
𝑔𝑠 − 𝑉𝑡 − ൗ
𝑉𝑑𝑠
2 𝑉𝑑𝑠
∴ 𝛽 = 𝜇𝐶𝑜𝑥
𝑊
𝐿
nMOS Saturation I-V
If 𝑉𝑔𝑑 < 𝑉𝑡, channel pinches off near drain
When 𝑉𝑑𝑠 > 𝑉𝑑𝑠𝑎𝑡 = 𝑉
𝑔𝑠 − 𝑉𝑡
Now drain voltage no longer increases
current
18
𝐼𝑑𝑠 = 𝛽 𝑉
𝑔𝑠 − 𝑉𝑡 − ൗ
𝑉𝑑𝑠
2 𝑉𝑑𝑠
=
𝛽
2
𝑉
𝑔𝑠 − 𝑉𝑡
2
c
nMOS I-V Summary
19
( )
2
cutoff
linear
saturatio
0
2
2
n
gs t
ds
ds gs t ds ds dsat
gs t ds dsat
V V
V
I V V V V V
V V V V



 

  
= − − 
 

 


− 


20
nMos vs. pMos
21
C-V characteristic (Gate Capacitance)
Approximate channel as connected to source
𝐶𝑔𝑠 = 𝜀𝑜𝑥 ൗ
𝑊𝐿
𝑡𝑜𝑥
= 𝐶𝑜𝑥𝑊𝐿 = 𝐶𝑝𝑒𝑟𝑚𝑖𝑐𝑟𝑜𝑛𝑊
Cpermicron is typically about 2 fF/mm
22
n+ n+
p-type body
W
L
tox
SiO2
gate oxide
(good insulator, eox
= 3.9e0
)
polysilicon
gate
C-V characteristic (Diffusion Capacitance)
Csb, Cdb
Undesirable, called parasitic capacitance
Capacitance depends on area and perimeter
✗ Use small diffusion nodes
✗ Comparable to Cg for contacted diff
✗ ½ Cg for uncontacted
✗ Varies with process
23
DC Characteristic
Analyze DC Characteristics of CMOS
Gates by studying an Inverter
DC Analysis of CMOS Inverter
✗ Vin, input voltage
✗ Vout, output voltage
✗ single power supply, VDD
✗ Ground reference
✗ find Vout= f(Vin)
Voltage Transfer Characteristic (VTC)
✗ plot of Vout as a function of Vin
✗ vary Vin from 0 to VDD
✗ find Vout at each value of Vin
24
Idsn
Idsp
Vout
VDD
Vin
Inverter Voltage Transfer Characteristics
Output High Voltage, VOH
–maximum output voltage
.occurs when input is low (Vin= 0V)
•pMOS is ON, nMOS is OFF
•pMOS pulls Vout to VDD
. .VOH= VDD
25
Inverter Voltage Transfer Characteristics
26
Output Low Voltage, VOL
–minimum output voltage
•occurs when input is high (Vin=VDD)
•pMOS is OFF, nMOS is ON
•nMOS pulls Vout to Ground
VOL= 0 V
MOS DC operation
27
Cutoff Linear Saturated
Vgsn < Vtn
Vin < Vtn
Vgsn > Vtn
Vin > Vtn
Vdsn < Vgsn – Vtn
Vout < Vin - Vtn
Vgsn > Vtn
Vin > Vtn
Vdsn > Vgsn – Vtn
Vout > Vin - Vtn
Cutoff Linear Saturated
Vgsp > Vtp
Vin > VDD + Vtp
Vgsp < Vtp
Vin < VDD + Vtp
Vdsp > Vgsp – Vtp
Vout > Vin - Vtp
Vgsp < Vtp
Vin < VDD + Vtp
Vdsp < Vgsp – Vtp
Vout < Vin - Vtp
Idsn
Idsp
Vout
VDD
Vin
Idsn
Idsp
Vout
VDD
Vin
Inverter Voltage Transfer Characteristics
28
Region nMOS pMOS
A Cutoff Linear
B Saturation Linear
C Saturation Saturation
D Linear Saturation
E Linear Cutoff
C
Vout
0
Vin
VDD
VDD
A B
D
E
Vtn
VDD
/2 VDD
+Vtp
Fabrication:
CMOS Technology
CMOS Fabrication
Starting wafer is pure silicon crystal.
Multiple process steps deposit new materials and etch existing layers using
photolithography (light focused through masks).
Modern logic chips fabricated on 20cm (8”) wafers, ~100s chips/wafer.
Wafer sawed into separate chips after fabrication.
Chips then placed into packages (see packaging lecture later in course)
[6” wafer of T0 chips, 1.0mm, 2 Al layers, 1995]
One chip
Basic CMOS Fabrication Steps
31
Growing silicon dioxide to serve as an insulator
between layers deposited on the surface of the silicon
wafer.
Doping the silicon substrate with acceptor and donor atoms to
create p- and n-type diffusions that form isolating PN junctions
and one plate of the MOS capacitor.
Depositing material on the wafer to create masks,
wires and the other plate of the MOS capacitor.
Etching deposited materials to create the
appropriate geometric patterns.
Figures are from W. Maly, Atlas of IC Technologies: An Introduction to VLSI Processes.
(ignore dimensions in figures – they are quite out-of-date!)
Etching
32
Multiple Levels of Interconnect
33
Design Rules
Design rules are an abstraction of the fabrication process that specify various
geometric constraints on how different masks can be drawn.
Design rules can be absolute measurements (e.g., in nm) or scaled to an abstract
unit, the lambda. Lambda-based designs are scaled to the appropriate absolute
units depending on the manufacturing process finally used.
34
Lambda-based Design Rules
One lambda (l)= one half
of the “minimum” mask
dimension.
Typically, the length of a
transistor channel is 2l.
Usually all edges must be
“on grid”, e.g., in the
MOSIS scalable rules, all
edges must be on a
lambda grid.
35
Technology related CAD
Big companies, lots of money, 40 years of integrated circuit
design experience, conferences, journals, powerful PCs… what’s
the problem?
IC CAD tools are difficult to use
✗ Written by electrical engineers (not professional programmers)
✗ Incredibly buggy
✗ Not documented
✗ Rely on ancient, outdated file formats for interoperability
✗ Still mostly rely on command-line interfaces
✗ Utilize outdated, primitive, buggy APIs for GUIs
✗ Inherently required to solve hard problems
■ Place components, route wires
■ Must utilize advanced heuristics that are only as good as
fabrication process technology information and user input
(garbage-in, garbage-out)
36
Technology related CAD
Cadence tools
✗ “IC-Tools” => IC5141 package (Linux)
✗ Collection of tools managed by Design Framework II (dfII)
■ Virtuoso schematic/layout editor
■ Analog Environment
■ Spectre simulator
■ Diva DRC, EXT, LVS
Other Cadence tools
✗ SignalStorm => TSI42 package (Linux)
✗ Abstract Generator => DSMSE54 (Solaris)
✗ First Encounter => SOC42 package (Linux)
Synopsys
✗ Design Compiler (Linux)
Mentor
✗ HDL Designer (Linux)
37
What CAD tools can do?
38
Manufacturing issue
39
https://www.researchgate.net/figure/Main-challenges-for-CMOS-technology-at-the-21-nm-
technology-node-DIBL-drain-induced_fig1_225552709
40
THANK YOU

More Related Content

Similar to EMT529-VLSI-Design-wk1.pdf

CMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuitsCMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuitsIkhwan_Fakrudin
 
Nptel cad2-06 capcitances
Nptel cad2-06 capcitancesNptel cad2-06 capcitances
Nptel cad2-06 capcitanceschenna_kesava
 
VLSI-mosfet-construction engineering ECE
VLSI-mosfet-construction engineering ECEVLSI-mosfet-construction engineering ECE
VLSI-mosfet-construction engineering ECEjpradha86
 
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.ppt
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.pptFALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.ppt
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.pptVijaySathappan
 
MetroScientific Week 1.pptx
MetroScientific Week 1.pptxMetroScientific Week 1.pptx
MetroScientific Week 1.pptxBipin Saha
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...CatalinGabrielDincan
 
Vlsi report using latex
Vlsi report using latexVlsi report using latex
Vlsi report using latexPriya Hada
 
Introduction to COMS VLSI Design
Introduction to COMS VLSI DesignIntroduction to COMS VLSI Design
Introduction to COMS VLSI DesignEutectics
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYcscpconf
 
Industrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingIndustrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingPallavi Bharti
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdfGirjeshVerma2
 
Dielectric Q-V Measurements using COS technique
Dielectric Q-V Measurements using COS techniqueDielectric Q-V Measurements using COS technique
Dielectric Q-V Measurements using COS techniqueMichael Shifrin
 
Introduction to VLSI Technology
Introduction to VLSI TechnologyIntroduction to VLSI Technology
Introduction to VLSI TechnologyDr.YNM
 

Similar to EMT529-VLSI-Design-wk1.pdf (20)

CMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuitsCMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuits
 
VLSI_MOS.pptx
VLSI_MOS.pptxVLSI_MOS.pptx
VLSI_MOS.pptx
 
lec23Concl.ppt
lec23Concl.pptlec23Concl.ppt
lec23Concl.ppt
 
Nptel cad2-06 capcitances
Nptel cad2-06 capcitancesNptel cad2-06 capcitances
Nptel cad2-06 capcitances
 
VLSI-mosfet-construction engineering ECE
VLSI-mosfet-construction engineering ECEVLSI-mosfet-construction engineering ECE
VLSI-mosfet-construction engineering ECE
 
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.ppt
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.pptFALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.ppt
FALLSEM2023-24_BECE303L_TH_VL2023240100242_2023-04-24_Reference-Material-I.ppt
 
MetroScientific Week 1.pptx
MetroScientific Week 1.pptxMetroScientific Week 1.pptx
MetroScientific Week 1.pptx
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 
Vlsi report using latex
Vlsi report using latexVlsi report using latex
Vlsi report using latex
 
Lect_01_Intro.ppt
Lect_01_Intro.pptLect_01_Intro.ppt
Lect_01_Intro.ppt
 
Introduction to COMS VLSI Design
Introduction to COMS VLSI DesignIntroduction to COMS VLSI Design
Introduction to COMS VLSI Design
 
M Tech New Syllabus(2012)
M Tech New Syllabus(2012)M Tech New Syllabus(2012)
M Tech New Syllabus(2012)
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
 
CMOS Transistor
CMOS TransistorCMOS Transistor
CMOS Transistor
 
Industrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designingIndustrial training report of vlsi,vhdl and pcb designing
Industrial training report of vlsi,vhdl and pcb designing
 
mos character..ppt
mos character..pptmos character..ppt
mos character..ppt
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Dielectric Q-V Measurements using COS technique
Dielectric Q-V Measurements using COS techniqueDielectric Q-V Measurements using COS technique
Dielectric Q-V Measurements using COS technique
 
VLSI PPT _0.pdf
VLSI PPT _0.pdfVLSI PPT _0.pdf
VLSI PPT _0.pdf
 
Introduction to VLSI Technology
Introduction to VLSI TechnologyIntroduction to VLSI Technology
Introduction to VLSI Technology
 

Recently uploaded

Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesRAJNEESHKUMAR341697
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086anil_gaur
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startQuintin Balsdon
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxSCMS School of Architecture
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...HenryBriggs2
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxmaisarahman1
 
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...soginsider
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdfKamal Acharya
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayEpec Engineered Technologies
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 

Recently uploaded (20)

Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planes
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 

EMT529-VLSI-Design-wk1.pdf

  • 1. VGT 529 VLSI design : Review of Transistor Level Design Fazrul Faiz Zakaria, Ph.D Faculty of Electronic Engineering Technology Universiti Malaysia Perlis
  • 2. Outline ✘ Course info ✘ Course contents ✘ Course assessments ✘ Device design ✘ MOS Transistor theory ✘ Fabrication ✘ CMOS Technology ✘ Layout DRC ✘ Technology related CAD ✘ Manufacturing issues 2
  • 4. Course Contents 4 WEEK DATE COURSE CONTENT 1 5/4/2021 Review of transistor level design: Design Device: Long channel I-V characteristic, C-V characteristic, non-ideal l-V effect, DC transfer characteristic. Fabrication: CMOS technology, layout design rule, technology related CAD, manufacturing issues. 2 12/4/2021 Review of transistor level design: Review of circuit level design, logic style, CMOS logic gate design, leaf cell design.. 3 19/4/2021 Circuit characterization and performance estimation: Speed: RC delay model, linear delay model, logical effort path, timing analysis, delay model, transistor sizing, design margin. 4 26/4/2021 Circuit characterization and performance estimation: Power: Dynamic power, static power, leakage power, energy delay estimation. 5 3/5/2021 Circuit characterization and performance estimation: Wires: interconnect modeling, interconnect impact, interconnect engineering, logical effort with wire. Circuit characterization: path simulation, DC transfer characteristic, logical effort, power and energy, simulating mismatches, monte carlo simulation. 6 17/5/2021 High level-design methodology: Structured design strategies: Hierarchy, regularity, modularity, locality. Design methods: microprocessor, programmable logic, gate array, cell-based design, full custom, platform based design - SoC. 7 24/5/2021 High level-design methodology: Design flow: behavioral synthesis design flow (ASIC design flow), logic design and verification, RTL synthesis, functional or formal verification, static timing analysis, test insertion, power analysis.
  • 5. Course Contents 5 8 31/5/2021 High level design methodology: Automated layout generation: placement, floor planning, routing, parasitic extraction, timing oncolysis, noise, Vdd drop,, electromigration oncolysis, timing driven placement, clock tree routing, power analysis. 9 -10 7/6/2021 - 14/6/2021 Advance Design : High speed design: switching time oncolysis, detail load capacitance calculation, improving delay calculation with input slope, gate sizing for optimal Poth delay, optimizing Poth with logical efforts, logical style 11-12 21/6/2021 - 28/6/2021 Advance Design: Low power architecture: microarchitecture, parallism, pipelining, power management modes, gate power control, data latching, clock gating, architecture driven voltage scaling, dynamic voltage and frequency 13 5/7/2021 Design strategies for test: Tester, Test fixture, test program, logic verification principles, silicon debug principles, manufacturing test principles. 14 12/7/2021 Design strategies for test: Design for test ability: adhoc testing, scan design, built in self test, IDDQ test, design for manufacturability, boundary SCON. Test pattern generation: fault models, automatic test pattern generation (ATPG), fault simulation
  • 6. Assessments 6 Activities Week Tentative Date Level of complexity Marks Assignment 1 4 26/4/2021 C4 10% Assignment 2 8 31/5/2021 C6 10% Project 14 12/7/2021 C6 40% Open book test 19 9/8/2021 C4 30% Quiz 1 4 26/4/2021 C1 2.5% Quiz 2 7 24/5/2021 C2 2.5% Quiz 3 10 14/6/2021 C2 2.5% Quiz 4 13 5/7/2021 C3 2.5%
  • 8. A deep dive into a chip Packaged chip Silicon die (100-400 mm2) Die cross-section Transistor (FET) 6-15 metal layers (wires) 8
  • 9. MOSFET Intro Nearly all digital systems are built using field effect transistors, which are voltage-controlled switches. FETs come in two varieties: nFET and pFET 9 A high voltage at gate creates conducting path between source and drain A low voltage at gate creates conducting path between source and drain
  • 10. MOS Structure & operation 10 Polysilicon Gate Silicon Dioxide (SiO2) Insulator P-type Body Depletion region Inversion region Depletion region
  • 11. MOS Transistor Operation 11 Cut-off mode No channel between source and drain Transistor is off Cut-off
  • 12. MOS Transistor Operation 12 Liner Mode: As Vgs > Vt the inversion region created a channel between source & drain. If Vgd = Vgs ; Vds = 0 Ids =0 If Vds ↑ Ids ↑ Linear
  • 13. MOS Transistor Operation 13 • Saturation mode • When Vds > Vgs-Vt • Channel pinch-off Saturation
  • 14. I-V Characteristic In Linear region, Ids depends on ✗ How much charge is in the channel? ✗ How fast is the charge moving? 14
  • 15. Channel Charge MOS structure looks like parallel plate capacitor while operating in inversions: Gate – oxide – channel Qchannel = CV C = Cg = eoxWL/tox = CoxWL V = Vgc – Vt = (Vgs – Vds/2) – Vt 15 n+ n+ p-type body + Vgd gate + + source - Vgs - drain Vds channel - Vg Vs Vd Cg n+ n+ p-type body W L tox SiO2 gate oxide (good insulator, eox = 3.9) polysilicon gate Cox = eox / tox
  • 16. Carrier Velocity Charge is carried by e- Electrons are propelled by the lateral electric field between source and drain: 𝐸 = ൗ 𝑉𝑑𝑠 𝐿 Carrier velocity v proportional to lateral E-field : 𝑣 = 𝜇𝐸 ∴ 𝜇 called mobility Time for carrier to cross channel: 𝑡 = Τ 𝐿 𝑣 16 c
  • 17. nMOS Linear I-V Now we know: How much charge Qchannel is in the channel How much time t each carrier takes to cross 17 𝐼𝑑𝑠 = 𝑄𝑐ℎ𝑎𝑛𝑛𝑒𝑙 𝑡 = 𝜇𝐶𝑜𝑥 𝑊 𝐿 𝑉 𝑔𝑠 − 𝑉𝑡 − ൗ 𝑉𝑑𝑠 2 𝑉𝑑𝑠 = 𝛽 𝑉 𝑔𝑠 − 𝑉𝑡 − ൗ 𝑉𝑑𝑠 2 𝑉𝑑𝑠 ∴ 𝛽 = 𝜇𝐶𝑜𝑥 𝑊 𝐿
  • 18. nMOS Saturation I-V If 𝑉𝑔𝑑 < 𝑉𝑡, channel pinches off near drain When 𝑉𝑑𝑠 > 𝑉𝑑𝑠𝑎𝑡 = 𝑉 𝑔𝑠 − 𝑉𝑡 Now drain voltage no longer increases current 18 𝐼𝑑𝑠 = 𝛽 𝑉 𝑔𝑠 − 𝑉𝑡 − ൗ 𝑉𝑑𝑠 2 𝑉𝑑𝑠 = 𝛽 2 𝑉 𝑔𝑠 − 𝑉𝑡 2 c
  • 19. nMOS I-V Summary 19 ( ) 2 cutoff linear saturatio 0 2 2 n gs t ds ds gs t ds ds dsat gs t ds dsat V V V I V V V V V V V V V          = − −         −   
  • 20. 20
  • 22. C-V characteristic (Gate Capacitance) Approximate channel as connected to source 𝐶𝑔𝑠 = 𝜀𝑜𝑥 ൗ 𝑊𝐿 𝑡𝑜𝑥 = 𝐶𝑜𝑥𝑊𝐿 = 𝐶𝑝𝑒𝑟𝑚𝑖𝑐𝑟𝑜𝑛𝑊 Cpermicron is typically about 2 fF/mm 22 n+ n+ p-type body W L tox SiO2 gate oxide (good insulator, eox = 3.9e0 ) polysilicon gate
  • 23. C-V characteristic (Diffusion Capacitance) Csb, Cdb Undesirable, called parasitic capacitance Capacitance depends on area and perimeter ✗ Use small diffusion nodes ✗ Comparable to Cg for contacted diff ✗ ½ Cg for uncontacted ✗ Varies with process 23
  • 24. DC Characteristic Analyze DC Characteristics of CMOS Gates by studying an Inverter DC Analysis of CMOS Inverter ✗ Vin, input voltage ✗ Vout, output voltage ✗ single power supply, VDD ✗ Ground reference ✗ find Vout= f(Vin) Voltage Transfer Characteristic (VTC) ✗ plot of Vout as a function of Vin ✗ vary Vin from 0 to VDD ✗ find Vout at each value of Vin 24 Idsn Idsp Vout VDD Vin
  • 25. Inverter Voltage Transfer Characteristics Output High Voltage, VOH –maximum output voltage .occurs when input is low (Vin= 0V) •pMOS is ON, nMOS is OFF •pMOS pulls Vout to VDD . .VOH= VDD 25
  • 26. Inverter Voltage Transfer Characteristics 26 Output Low Voltage, VOL –minimum output voltage •occurs when input is high (Vin=VDD) •pMOS is OFF, nMOS is ON •nMOS pulls Vout to Ground VOL= 0 V
  • 27. MOS DC operation 27 Cutoff Linear Saturated Vgsn < Vtn Vin < Vtn Vgsn > Vtn Vin > Vtn Vdsn < Vgsn – Vtn Vout < Vin - Vtn Vgsn > Vtn Vin > Vtn Vdsn > Vgsn – Vtn Vout > Vin - Vtn Cutoff Linear Saturated Vgsp > Vtp Vin > VDD + Vtp Vgsp < Vtp Vin < VDD + Vtp Vdsp > Vgsp – Vtp Vout > Vin - Vtp Vgsp < Vtp Vin < VDD + Vtp Vdsp < Vgsp – Vtp Vout < Vin - Vtp Idsn Idsp Vout VDD Vin Idsn Idsp Vout VDD Vin
  • 28. Inverter Voltage Transfer Characteristics 28 Region nMOS pMOS A Cutoff Linear B Saturation Linear C Saturation Saturation D Linear Saturation E Linear Cutoff C Vout 0 Vin VDD VDD A B D E Vtn VDD /2 VDD +Vtp
  • 30. CMOS Fabrication Starting wafer is pure silicon crystal. Multiple process steps deposit new materials and etch existing layers using photolithography (light focused through masks). Modern logic chips fabricated on 20cm (8”) wafers, ~100s chips/wafer. Wafer sawed into separate chips after fabrication. Chips then placed into packages (see packaging lecture later in course) [6” wafer of T0 chips, 1.0mm, 2 Al layers, 1995] One chip
  • 31. Basic CMOS Fabrication Steps 31 Growing silicon dioxide to serve as an insulator between layers deposited on the surface of the silicon wafer. Doping the silicon substrate with acceptor and donor atoms to create p- and n-type diffusions that form isolating PN junctions and one plate of the MOS capacitor. Depositing material on the wafer to create masks, wires and the other plate of the MOS capacitor. Etching deposited materials to create the appropriate geometric patterns. Figures are from W. Maly, Atlas of IC Technologies: An Introduction to VLSI Processes. (ignore dimensions in figures – they are quite out-of-date!)
  • 33. Multiple Levels of Interconnect 33
  • 34. Design Rules Design rules are an abstraction of the fabrication process that specify various geometric constraints on how different masks can be drawn. Design rules can be absolute measurements (e.g., in nm) or scaled to an abstract unit, the lambda. Lambda-based designs are scaled to the appropriate absolute units depending on the manufacturing process finally used. 34
  • 35. Lambda-based Design Rules One lambda (l)= one half of the “minimum” mask dimension. Typically, the length of a transistor channel is 2l. Usually all edges must be “on grid”, e.g., in the MOSIS scalable rules, all edges must be on a lambda grid. 35
  • 36. Technology related CAD Big companies, lots of money, 40 years of integrated circuit design experience, conferences, journals, powerful PCs… what’s the problem? IC CAD tools are difficult to use ✗ Written by electrical engineers (not professional programmers) ✗ Incredibly buggy ✗ Not documented ✗ Rely on ancient, outdated file formats for interoperability ✗ Still mostly rely on command-line interfaces ✗ Utilize outdated, primitive, buggy APIs for GUIs ✗ Inherently required to solve hard problems ■ Place components, route wires ■ Must utilize advanced heuristics that are only as good as fabrication process technology information and user input (garbage-in, garbage-out) 36
  • 37. Technology related CAD Cadence tools ✗ “IC-Tools” => IC5141 package (Linux) ✗ Collection of tools managed by Design Framework II (dfII) ■ Virtuoso schematic/layout editor ■ Analog Environment ■ Spectre simulator ■ Diva DRC, EXT, LVS Other Cadence tools ✗ SignalStorm => TSI42 package (Linux) ✗ Abstract Generator => DSMSE54 (Solaris) ✗ First Encounter => SOC42 package (Linux) Synopsys ✗ Design Compiler (Linux) Mentor ✗ HDL Designer (Linux) 37
  • 38. What CAD tools can do? 38